FPGA学习笔记(六)——流水灯

上篇博客讲了led控制,实现了led闪烁,那我们趁热打铁,做一个补充实验:

设计流水灯,让4个led灯按照流水的方式循环闪烁。

这个实验是为了练习verilog中移位操作的使用方法。

想要实现流水灯,就需要使亮的那个灯移位,按照逻辑来说就是0001->0010->0100->1000->0001

但是由于led是低电平点亮,所以我们需要取反,新定义一个led_r,令led=~led_r。在输出端也要将reg去掉,led无需作为寄存器了,作为物理连线即可,之前的任务改由led_r执行。

下面进行全编译,下载程序到板子上,观察现象

流水灯完成。还有其他方法来实现这个功能。

使用这种位拼接方法,简单有效的进行移位。将程序下载到板卡中验证

现象与之前没区别。这些小实验可以有效地帮助我们熟悉verilog语言和开发流程。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值