modelsim编写do文件常用命令记录

1.#跟着的内容代表注释

#代表注释

2.quit -sim:退出当前仿真工程

quit -sim

3.main clear:清除modelsim的命令行的内容

.main clear

4.vlib ./lib:vlib为modelsim创建文件夹命令,./表示do文件所在的当前文件夹路径,lib为新建文件夹的名称。该命令将在do文件所在文件夹中新建一个名为lib的文件夹。

vlib ./lib

vlib ./lib/work:在lib文件夹下再新建一个work的文件夹

vlib ./lib/work

5.vmap work ./lib/work:vmap是Modelsim映射命令,前面一个work是vlib命令所创建的物理文件夹名(此文件夹必须用vlib命令事先定义好,才能被引用),后面一个work表示在Modelsim的Library窗口中创建了一个名为work的库文件夹。此命令输入后,将在Modelsim的Library窗口中创建了一个名为work的库文件夹(逻辑目录),并映射到对应的物理目录,即vlib命令创建好的名为work的文件夹。如下图所示。

vmap work ./lib/work

6.vlog -work:Modelsim编译verilog命令。此命令编译Verilog源码,将编译得到的结果放到名为work的逻辑库里面。vlog -work如果后面直接跟着.v文件,表示与compile.do文件同一个文件夹路径下。../表示向上翻一个文件夹目录。

vlog -work work ./install_remind_top.v

vlog -work work ../design/abc.v

7.vsim -voptargs=+acc:vsim是Modelsim启动仿真命令。

work.tb_top表示以testbench_top.v文件作为测试脚本进行仿真,work.是固定用法,tb_top是用vlog编译过的测试脚本的文件名。

-voptargs=+acc是固定命令脚本,表示优化部分参数。

vsim -voptargs=+acc work.tb_top

8.add wave tb_top/ex_inst1:添加波形命令,tb_top含义同上,注意ex_inst1为testbench内部的例化名,需要看哪些模块的信号波形就添加。例如add wave tb_top/ex_inst2意为添加tb_top文件内ex_inst2例化模块的信号波形。

add wave tb_top/ex_inst1

*是通配符,匹配所有信号

add wave tb_top/*

9.run 10us:设置modelsim仿真运行时间,根据需要自由设定。

run 10us

一个完整的do文件例子如下,将该do文件命名为run。

#代表注释
#退出当前仿真工程
quit -sim
#清除modelsim的命令行
.main clear

vlib ./lib
vlib ./lib/work
vmap work ./lib/work

vlog -work work ./module1.v
vlog -work work ./module2.v
vlog -work work ./tb_top.v

vsim -voptargs=+acc work.tb_top

add wave tb_top/inst_1
add wave tb_top/inst_2
# *是通配符,匹配所有信号
add wave tb_top/*

run 10us

在modelsim下方的transcript窗口键入do run.do,回车运行即可。

  • 5
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值