【vivado IP核】第3篇:AXI Lite/AXI4/AXI Stream三种协议带的地址是什么的地址?

43 篇文章 78 订阅 ¥99.90 ¥299.90

1 前言

1.1 声明

本文依据个人试验及工作经验整理而成,如有错误请留言。
文章为个人辛苦整理,付费内容,禁止私自转载。

1.2 背景

当初学习fpga时候,很难分清楚AXI Lite/AXI4/AXI Stream三种协议带的地址是什么的地址,于是做了如下试验,最终通过试验得出结论,方便大家区分。

2 正文

(一)
以正点原子《领航者ZYNQ之嵌入式开发指南_V1.2》第六章:自定义 IP 核-呼吸灯实验来说AXI Lite:

code中对控制LED的寄存器(该寄存器地址:0x43c00004)进行写入数据0x800003E0

波形中可以看到:
(1)写通道中:写入的数据就是要写到寄存器中的数据0x800003E0
(2)写地址通道中:AWADDR信号中的值就是0x43c00004,即LED寄存器的地址。
在这里插入图片描述
在这里插入图片描述
也就是说,AXI lite协议中带的地址就是要操作设备/register在内存中映射的地址。

&

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

黑猫学长呀

有帮助到你就来打个赏呗

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值