
黑猫的FPGA知识合集
文章平均质量分 83
Xilinx FPGA项目中各种常见问题、技巧合集,节约你卡bug的时间。
优惠券已抵扣
余额抵扣
还需支付
¥99.90
¥299.90
购买须知?
本专栏为图文内容,最终完结不会低于15篇文章。
订阅专栏,享有专栏所有文章阅读权限。
本专栏为虚拟商品,基于网络商品和虚拟商品的性质和特征,专栏一经购买无正当理由不予退款,不支持升级,敬请谅解。
黑猫学长呀
芯片行业嵌入式攻城狮一枚~
展开
-
【ZYNQ搭建系统】第4篇:linux系统层实现AXI DMA数据传输
本文依据个人工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 前言1.1 工程环境软件windows上装的vivado 2018.1windows上装的SDK 2018.1ubuntu工程项目axi dma loop实验硬件zedboard开发板(ZYNQ 7020)SD卡:通过sd卡启动开发板1.2 背景概述我使用的是ZYNQ芯片的zedboard开发板,在开发板中跑Linux系统,之所以跑linux系原创 2021-07-12 20:20:31 · 1697 阅读 · 0 评论 -
【ZYNQ搭建系统】第3篇:如何有效修改根文件系统
uramdisk.image.gz是Linux的根文件系统,但是我们通常在板子上启动系统后,如果在这个文件系统上做任何的修改(改文件内容、改系统配置、增删项目等等),这些操作都只在当前上电周期内有效,也就是重启板子后发现之前做的修改全部复原了。这里我猜测可能我们在开发板上改的只是文件系统映像,并没有直接修改到实体上。真正的原因在制作根文件系统相关资料上应该能找到。下面介绍如何使我们的修改永久有效的方法:1.在虚拟及中创建文件夹test,及两个子目录ramdisk_mount ramdisk_imag.原创 2021-07-12 20:19:57 · 943 阅读 · 0 评论 -
【ZYNQ搭建系统】第2篇:zynq linux移植教程
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 FPGA深入研究之Liunx移植u-boot篇(基于xlnx_uboot_v2017.4)1.1 初识u-bootu-boot源码下载后研究了半天也不知道从哪里下手,网上的资料五花八门,使用的uboot版本也不同,没有找到适合自己的资料于是只能转头研究源码中的README文件了。一进入README,然后我发现/doc目录的描述是文档资料,那里应该可以找到我想要的原创 2021-07-12 20:19:14 · 1002 阅读 · 0 评论 -
【ZYNQ搭建系统】第1篇:FPGA裸板(zedboard)搭建linux系统超详细教程
1 前言1.1 声明本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 主要内容作者使用zedboard开发板进行linux系统搭建,该开发板使用的是zynq系列FPGA芯片。对于其他类型的FPGA开发板,基本适用。文章大约一万字,主要介绍了如何搭建linux系统,以及如何在xilinx sdk上运行有linux系统的程序,使得zynq的ps端与pl端实现通讯。1、zedboard上搭载操作系统需要什么东原创 2021-07-11 15:39:37 · 2457 阅读 · 0 评论 -
【FPGA相关知识】第2篇:电路知识合集
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》本文为作者进行FPGA学习工作过程中使用到的部分电路知识总结,做如下分享。1 同步和异步(1)同步方法调用一旦开始,调用者必须等到方法调用返回后,才能继续后续的行为。(2)异步方法调用更像一个消息传递,一旦开始,方法调用就会立即返回,调用者就可以继续后续的操作。2 采样深度什么意思采样深度就是频率。抓取的示波器的线条通过点来打印出来,采样深度越大,打点的速度越原创 2021-07-11 15:14:35 · 504 阅读 · 0 评论 -
【FPGA相关知识】第1篇:FPGA code设计思想
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 FPGA设计中对输入信号的处理FPGA设计中对输入信号的处理:https://blog.csdn.net/m0_37822308/article/details/824533292 FPGA中RTL导致指的是什么RTL 寄存器传输级 (Register Transfer Level,RTL)RTL,其实就是指你写的程序代码。所以RTL仿真,就是程序仿真的意思原创 2021-07-11 15:10:01 · 627 阅读 · 0 评论 -
【ZYNQ PS】第5篇:xilinx部分原语介绍
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 概述分类Xilinx公司的原语按照算组件端口组件、寄存钟组件、处理器组件、移位寄存器、配置和检测组件组件、 Slice/CLB组件以及G比特收发器组件。vivado中原语模板2 IOBUFDS注意1、使用IOBUFDS操作差分信号,IO stardard需要改为DIFF_HSUL,否则执行会报错3 OBUFDS作用通过一个输入信号,产生两原创 2021-07-11 15:03:58 · 1198 阅读 · 0 评论 -
【ZYNQ PS】第3篇:ZYNQ中断简介
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 概述关键词IRQ Maskable Interrupts,可屏蔽中断NMI Non-Maskable Interrupts,不可屏蔽中断IPI Inter-Processor Interrupts,处理器间中断PPI 私有外设中断(private peripheral interrupts,PPI)SGI 软件生成的中断(software ge原创 2021-07-11 14:55:55 · 565 阅读 · 0 评论 -
【ZYNQ PS】第2篇:MIO及EMIO是什么?
1 前言1.1 声明本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 主要内容文章大约2500字,主要内容有:1、MIO及EMIO分别是什么?有什么区别2、什么是GPIO3、使用MIO中需要注意的点4、个人避坑指南2 简介2.1 什么是mioMIO(multiuse I/O)将来自PS外设和静态存储器接口的访问多路复用到PS的引脚上。简单来说,mio就是ps和外设之间的桥梁。如果没有mio,原创 2021-07-11 14:49:43 · 3895 阅读 · 0 评论 -
【vivado使用】第3篇:BD文件(ip integrator)使用技巧
本文依据个人工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 现有IP进行添加到BD文件中1、先将现有ip存放的路径在添加进来2、然后再点击加号,搜索刚才的ip添加进来就行了2 BD文件如何把电路图重新整理一下空白处右击,点击regenerate layout3 create HDL wrapper和generate output products是什么意思BD文件弄好后,先generate output produ原创 2021-07-11 14:27:54 · 7929 阅读 · 0 评论 -
【vivado使用】第2篇:超实用的vivado使用教程
1 前言1.1 声明本文依据网络资料、个人试验及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 主要内容本文约5500字,大概讲述关于vivado的安装使用技巧及各个文件步骤含义,主要有:1、如何安装vivado2、vivado如何创建工程3、用通俗易懂的话解释了什么是管脚约束及综合synthesis4、执行过程中常遇到的问题6、固化和下载的详细步骤7、仿真分类及问题2 环境安装参考链接:https://b原创 2021-07-11 10:57:06 · 14817 阅读 · 0 评论 -
【vivado IP核】第5篇:关于vivado中DMA和FIFO的几个IP介绍
1 前言本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》本文主要介绍了vivado中DMA相关的IP核使用及FIFO的几个IP核使用,附相关参考链接,皆为本人学习过程中认为不错的链接。2 DMA的几个IP参考链接AXI-Stream接口开发详细流程:https://www.eefocus.com/zhaoyongke/blog/13-05/294033_550a7.html分类AXI-DMA:实现从P原创 2021-07-11 10:30:53 · 2848 阅读 · 0 评论 -
【vivado IP核】第4篇:ILA使用介绍
1 前言1.1 声明本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 主要内容文章大约5000字,主要内容有:1、ILA是干什么用的?2、vivado中添加添加ILA的方式有哪些?3、使用ila时候需要注意什么?4、 ILA和VIO的区别5、debug hub core6、 ILA(Integrated Logic Analyzed)和System ILA区别又是什么?7、如何保存ila抓取到原创 2021-07-11 10:21:04 · 3948 阅读 · 0 评论 -
【testbench】第1篇:testbench编写规则
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 概述实现仿真需要自己增加testbench文件,也叫TB文件,也叫激励文件。需要测试的模块(Verilog-module)被称为DUT(Design Under Test),在testbench中需要对一个或者多个DUT进行实例化。testbench文件是什么呢?或者说怎么写呢?个人看法,不一定对,就是要提供两个东西,第一个就是时钟,模拟的系统时钟;第二个就原创 2021-07-08 23:55:31 · 1355 阅读 · 0 评论 -
【verilog教程】第10篇:verilog代码规范
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》(1) 对所有的信号名、变量名和端口名都用小写,这样做是为了和业界的习惯保持一致;对常量名和用户定义的类型用大写;(2) 使用有意义的信号名、端口名、函数名和参数名;(3) 信号名长度不要太长;(4) 对于时钟信号使用clk 作为信号名,如果设计中存在多个时钟,使用clk 作为时钟信号的前缀;(5) 对来自同一驱动源的信号在不同的子模块中采用相同的名字,这要求在芯原创 2021-07-08 23:43:14 · 825 阅读 · 0 评论 -
【verilog教程】第9篇:verilog常见问题合集
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 逗号问题(1)模块传参的括号里面,最后一个参数没有逗号2 verilog中小于等于和非阻塞赋值都是<=,为什么不会混淆一种是判断语句;一种是赋值语句,编译时会自动识别。比如if()中的就被编译为判断语句3 “{ }”的拼接作用4 文件包含`include参考链接(很详细):https://www.cnblogs.com/icelyb24/原创 2021-07-08 23:40:39 · 1606 阅读 · 0 评论 -
【verilog教程】第8篇:verilog中的任务
参考:《夏宇闻-Verilog经典教程》第3.7.3节函数与任务的区别:函数只能与主模块共用同一个仿真时间单位,而任务可以定义自己的仿真时间单位。函数不能启动任务,而任务能启动其它任务和函数。函数至少要有一个输入变量,而任务可以没有或有多个任何类型的变量。函数返回一个值,而任务则不返回值。相同点verilog中的task和function是可以综合的,不过综合出来的都是组合电路。规则(1)除任务参变量外,任务还能够引用任务定义所在模块中声明的任何变量(2)注意,任务语句是过原创 2021-07-08 23:36:06 · 462 阅读 · 0 评论 -
【verilog教程】第7篇:时序逻辑和组合逻辑
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》本文主要参考链接:https://zhuanlan.zhihu.com/p/1105437981 概述“always”块既可用于描述组合逻辑也可描述时序逻辑。1、always内不可以多层嵌套always!2、在同一个always块中不要既用阻塞赋值,又用非阻塞赋值。不允许在多个always块中对同一个变量进行赋值。always里面可以用阻塞赋值,没有规定只原创 2021-07-08 23:31:35 · 2758 阅读 · 0 评论 -
【verilog教程】第6篇:模块
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 概述erilog HDL程序是由模块构成的。每个模块的内容都是嵌在module和endmodule两个语句之间。每个模块实现特定的功能。模块是可以进行层次嵌套的。正因为如此,才可以将大型的数字电路设计分割成不同的小模块来实现特定的功能,最后通过顶层模块调用子模块来实现整体功能。每个模块要进行端口定义,并说明输入输出口,然后对模块的功能进行行为逻辑描述。Ve原创 2021-07-08 23:23:24 · 776 阅读 · 0 评论 -
【verilog教程】第5篇:状态机
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 概述状态机通常由有限个状态组成,所以也叫有限状态机。有限状态机 FSB (finite state machine)1.1 Mealy 型状态机电路的输出信号不仅与电路当前状态有关,还与电路的输入有关1.2 Moore 型状态机电路的输出仅仅与各触发器的状态,不受电路输入信号影响或无输入状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路原创 2021-07-04 21:53:09 · 568 阅读 · 0 评论 -
【verilog教程】第4篇:verilog语句块
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 赋值语句注意:组合逻辑用阻塞赋值。时序逻辑用非阻塞赋值。在同一个always块中不能既用阻塞赋值,又用非阻塞赋值。不允许在多个always块中对同一个变量进行赋值。非阻塞赋值只能赋值给reg类型变量。1.1 非租塞非阻塞(Non_Blocking)赋值方式( 如 b <= a; )块结束后才完成赋值操作。b的值并不是立刻就改变的。这是一原创 2021-07-04 21:48:02 · 454 阅读 · 0 评论 -
【verilog教程】第3篇:verilog关键词
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1 所有关键词always, and, assign,begin,buf,bufif0,bufif1,case,casex,casez,cmos,deassign,default,defparam,disable,edge,else,end,endcase,endmodule,endfunction,endprimitive, endspecify, endtable原创 2021-07-04 21:38:32 · 838 阅读 · 0 评论 -
【verilog教程】第2篇:运算符及表达式
本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》verilog中有符号数和无符号数相加,强制按无符号数计算。和C语言不同。1 等式运算符2 移位运算符逻辑右移,高位都是都是补0。算数右移。截断赋值然后把最高位当符号位,补最高位。链接:https://blog.csdn.net/u014485485/article/details/799623163 拼接运算符...原创 2021-07-04 21:28:43 · 342 阅读 · 0 评论 -
【verilog教程】第1篇:数据类型及常量、变量
1 前言1.1 声明本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 与C语言类似语法C语言中有个main主函数,整个程序的执行从main函数开始。Verilog HDL没有相应命名的模块,且每一个模块都是等价的,但必定存在一个顶层模块,它的端口中包含芯片系统与外界的所有I/O信号。这个顶层模块从程序的组织结构上讲,类似于C语言的主函数,但Verilog HDL中的所有模块都是并发运行的,因为在实际硬件中许原创 2021-07-04 21:06:35 · 620 阅读 · 0 评论 -
【xilinx SDK教程】第3篇:FPGA深入研究之SDK中增加静态库
1 前言1.1 声明本文依据网络资料及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》1.2 参考链接Zynq-Linux移植学习笔记之linux下多线程应用示例https://blog.csdn.net/zhaoxinfan/article/details/107451506ZYNQ7000_linux开发环境搭建https://blog.csdn.net/Chi_Hong/article/details/84522579原创 2021-07-04 18:12:00 · 3883 阅读 · 0 评论 -
【xilinx SDK教程】第2篇:超实用的xilinx SDK使用技巧合集
1 前言声明本文依据个人工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》主要内容1、SDK terminal不见了怎么办2、SDK显示行号、背景色设置、字体大小调节3、system.mss被关闭了如何打开4、xilinx SDK中:找不到include xgpio.h5、如何设置保存代码自动编译6、lanch SDK的时候,总是会生成新的hw_platform1问题解决7、SDK中如何链接库8、SDK如何设置编译的.原创 2021-07-04 18:01:01 · 7485 阅读 · 0 评论 -
【xilinx SDK教程】第1篇:超级实用的xilinx SDK使用、编程、安装教程
1 前言1、声明本文依据个人试验及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》2、文章背景作者学习xilinx SDK的时候,发现该软件使用起来挺难的,遇到各种各样的问题及bug,网上搜到的教程或者解决方法都是零零散散的,有时候遇到问题就要卡半天,特别浪费时间。所以个人学习期间,就遇到的问题整理如下,不能说是很完全的教程,但是希望后来者少踩一些坑。3、主要内容本文大概6500字,主要内容有:1、SDK中生成的.elf是原创 2021-07-04 17:51:45 · 10888 阅读 · 0 评论 -
【ZYNQ PS】第1篇:MIO、GPIO是什么?
1 前言本文依据个人试验及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章专栏:《黑猫的FPGA知识合集》2 简介2.1 什么是MIOMIO(multiuse I/O)将来自PS外设和静态存储器接口的访问多路复用到PS的引脚上。简单来说,mio就是ps和外设之间的桥梁。如果没有mio,外设和ps的gpio就一一对应,但是通过mio,就可以实现多路复用。1、zynq7000系列芯片有54个MIO。MIO分为两个bank,bank0对应PS的bank500,原创 2021-07-04 17:25:37 · 5267 阅读 · 0 评论 -
【petalinux教程】第2篇:petalinux使用教程
1 前言声明:本文依据网络资料、个人试验及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。文章所在专栏:《黑猫的FPGA知识合集》2 petalinux作用3 petalinux使用BSP创建工程3.1 下载BSPBSP(Board Support Package)板级支持包,是一个文件包,当你使用特定的硬件平台时,可以帮你隔离底层硬件,更方便地进行上层开发。Xilinx的开发板基本上都有对应的BSP,在PetaLinux环境下可以很方便地生成一个基础工程原创 2021-07-04 17:11:00 · 1385 阅读 · 0 评论 -
【vivado IP核】第2篇:VIVADO IP库中的FIFO已满继续写入数据会怎样
1 前言1.1 声明本文依据个人试验及工作经验整理而成,如有错误请留言。文章为个人辛苦整理,付费内容,禁止私自转载。1.2 内容概要本文主要讲述vivado ip库中FIFO Generator和AXI-Stream Data FIFO,当数据已经填满FIFO后,继续写数据会造成的后果。2 FIFO Generator测试对象为VIVADO IP库中的FIFO Generator,未采用AXI接口。向FIFO设置深度为256*int,通过向FIFO写入值为0~279一共280个int型原创 2021-06-29 23:51:49 · 2974 阅读 · 0 评论 -
【petalinux教程】第1篇:超详细的基于ubuntu的petalinux安装教程
1 前言1、本文详细介绍了petalinux2018.1在ubuntu14.04上安装流程。2、petalinux安装可参考Xilinx官方文档ug1144,官方文档很详细,但是感觉并没有给出详细安装命令等,此处为本人安装过程记录。2 安装前的准备2.1 安装配置ubuntu刚开始说道petalinux大多数人应该和我一样以为只是个和lubuntu、ubuntu、RedHat linux一样的linux操作系统,其实petalinux不是linux操作系统,简单理解petalinux是安装在lin原创 2020-09-16 09:54:10 · 2072 阅读 · 0 评论 -
【petalinux教程】第3篇:petalinux下载填写信息后提示U.S. Government Export Approval......
从官网下载petalinux需要注册账户,注册好以后,选择对应版本进行下载,需要填写一堆信息,填完之后提交就会提示如图:Please correct the errors and send your information again.U.S. Government Export ApprovalU.S. export regulations require that your First Name, Last Name, Company Name and Shipping Address be v原创 2020-09-14 10:38:49 · 2780 阅读 · 1 评论 -
【xilinx SDK教程】第6篇:SDK如何设置编译的.elf文件为release或debug版
首先要先知道什么是release版和debug版的区别,release的elf文件会比debug的elf文件大,用于调试。具体设置如图:原创 2020-09-09 14:59:24 · 4065 阅读 · 3 评论 -
【xilinx SDK教程】第4篇:xilinx SDK 如何链接线程库pthread
工程文件夹右击->“C/C++ build ssetings”->“settings”…这里一定要注意,链接pthread线程库必须是创建的linux工程,如果创建的sandalone的工程,就不能这样链接线程库。这里网上搜资料一堆,没一个人说创建的工程必须是linux工程,之前在standalone的工程中这里去链接线程库,就是行不通。...原创 2020-09-09 13:49:40 · 1803 阅读 · 3 评论 -
【xilinx SDK教程】第5篇:SDK如何调试linux程序
以下步骤基于xilinx SDK 2018.1版。1、新建 Linux 应用 File -> New -> Application Project;注意,一定要把OS Platform选择为linux,默认的是standalone(意思是创建裸板程序)。2、代码写完以后,编译完成以后,按图所示步骤3、debug type一定要改为linux。4、配置TCF,host填开发板的ip,但是此功能需配合 PetaLinux 使用。因为TCF(Target Communication Fram原创 2020-09-09 12:02:44 · 2271 阅读 · 1 评论 -
【vivado使用】第6篇:报错:[Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost......
vivado打开hardware manage -> open target后,突然对开发板进行断电,就会报错:然后之后每次进行代码编译,综合,执行之后,就会提示error:很明显就不是代码本身问题,要么不用管,要么关掉vivado,重新打开项目工程就行了。...原创 2020-08-13 11:26:45 · 28849 阅读 · 10 评论 -
【vivado IP核】第1篇:很全很详细的FIFO Generator IP核的使用规则
**FIFO Generator IP核的使用**1 概述(1)最大支持500M(2)支持三种接口:Native interface FIFOs、 AXI Memory Mapped interface FIFOs、 AXI4-Stream interface FIFOs(3)读写数据时,在数据上升沿采样2 FIFO规则2.1 empty/full信号实际上即使有数据写入到fifo中,empty还是为高,等一些周期之后才会拉低,具体多少个周期之后不一定,不知道。就理解成fifo的反应有点慢原创 2020-08-12 11:55:50 · 8945 阅读 · 2 评论 -
【vivado使用】第5篇:打不开vivado,出现乱码/libisl_iostreams.dll/error when launching.....vivado.bat,Launcher...
双击vivado,打不开文件,出现乱码,提示和动态库libisl_iostreams.dll有关。然后过两分钟弹出窗口提示:error when launching…vivado.bat,Launcher time out看了几个答案,感觉很厉害,其实好坑:https://blog.csdn.net/dongyu1703/article/details/80863737https://www.xilinx.com/support/answers/57386.htmlhttps://jingya原创 2020-08-03 18:17:44 · 2496 阅读 · 3 评论 -
【vivado使用】第4篇:BD文件validata design时候报错:Bus Interface property FREQ_HZ does not match
进行BD文件validata design时候,报错:[BD 41-237] Bus Interface property FREQ_HZ does not match between /DMA_AXIS_MM2S(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)如图所示,自己定义了一个扩展接口DMA_AXIS_MM2S,该扩展接口默认的频率是100M,但是从PS端的FCLK_CLK0出来的时钟是200M的,所以两个频率不匹配导致的。解决:右键扩展接原创 2020-08-03 11:38:01 · 7533 阅读 · 2 评论 -
【vivado IP核】第3篇:AXI Lite/AXI4/AXI Stream三种协议带的地址是什么的地址?
(一)以正点原子《领航者ZYNQ之嵌入式开发指南_V1.2》第六章:自定义 IP 核-呼吸灯实验来说AXI Lite:code中对控制LED的寄存器(该寄存器地址:0x43c00004)进行写入数据0x800003E0波形中可以看到:(1)写通道中:写入的数据就是要写到寄存器中的数据0x800003E0(2)写地址通道中:AWADDR信号中的值就是0x43c00004,即LED寄存器的地址。也就是说,AXI lite协议中带的地址就是要操作设备/register在内存中映射的地址。(二)原创 2020-07-31 16:26:36 · 1423 阅读 · 0 评论