VCS编译选项

VCSverilog模型进行仿真包括两个步骤:

1. 编译verilog文件成为一个可执行的二进制文件命令为:vcs source_files

2. 运行该可执行文件:./simv

类似于NC, 也有单命令行的方式:vcs source_files -R (-R 命令表示, 编译后立即执行)

vcs常用的命令选项如下:

-debug_all 使用 DVE 或者 ucli 调试模式,必须加参数 -debug 或者 -debug_all -gui 可以调出   DVE 界面,不加 -gui 默认 ucli 模式

+define+macro=value+
     预编译宏定义

-f filename RTL文件列表

-v file search for unresolved module references in 'file'

+incdir+directory+ 添加 include 文件夹
-I  
进入交互界面
-l  
logfile 文件名
-P pli.tab
  定义 PLI 列表(Tab)文件
+v2k
使用推荐的标准
-y
    定义 verilog 的库,search for unresolved module references in directory 'libdir'
-notice
显示详尽的诊断信息
-o
    指定输出的可执行文件的名字 , 缺省是 simv
+ nospecify
   不对SPECIFY 模块进行时序检查和路径延时计算

+ notimingcheck  不进行时序检查;但是还是把path延时加入仿真中

-R      after compilation, run simulation executable

-cm    line|cond|fsm|tgl|obc|path  设定coverage的方式

-cm_all enable VCS to link CoverMeter into the VCS executable in a waythat enables all coverages

-cm_line  onlyenable VCS to link CoverMeter into the VCSexecutable in a way that only enables line coverag

  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值