#VCS# 关于VCS 编译选项 《-v 、 -y 、+libext+》 的理解

我们知道,VCS 提供了如下三个选项:-v 、-y、+libext+。

今天我们一起拿好小黑板,坐稳小板凳,分析一下三个编译选项的含义和正确使用场景。不要大意,还是有陷阱的哦~

关于 -v 选项

-v lib_file  :表明我们的设计RTL代码里用到了这个工艺库文件里面定义的源。

【例子1】本例子,目录结构如下,新建目录macros。

 里面新建文件my_macros.sv,具体内容如下:


interface lib_if();

endinterface

`celldefine
module my_add(aa,bb,cc);
input aa;
input bb;
output reg [1:0] cc;

assign  cc = aa + bb;

endmodule
`endcelldefine

&#
  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值