ZYBOZ7从入门到进阶-2 zyboz7纯PL开发之LED点灯

版权声明:本文为博主原创文章,未经博主允许不得转载。https://blog.csdn.net/a646123070

上一节,我们进行了ZYBOZ7的拆箱(ps:感觉在介绍一下具体的参数,性能指标就可以像手机测评一样搞个开发板测评了,哈哈)。同时,我们也进行了开发工具的安装,下面,让我们用一个简单的例子来熟练一下开发工具的使用。

1、创建一个新的空工程

首先打开桌面上的 Vivado 20**.* 的图标(我使用的版本是vivado2018.2),在Quick Start窗口下选择Create Project 。

然后在弹出的页面选择Next。

之后会弹出创建工程名和选择工程所在位置的页面,填写好这两项信息,同时勾选Create project subdirectory,之后点击next进入下一步。

接着选择工程类型,我们一般选择RTL Project,同时勾选Do not specify sources at this time(这样可以跳过添加源文件的步骤,源文件可以后面再添加),之后点击两次next跳过添加源文件和约束的页面进入下一步。

这一步是根据自己的开发板选择器件型号,可以通过Parts直接通过型号进行搜索,例如zyboz7-20开发板上的芯片型号为xc7z020clg400-1。也可以通过Boards找到开发板名字进行添加,这种方法需要先在vivado中添加zyboz7开发板信息,具体操作请参考vivado添加开发板信息,需要的文件我也上传到了csdn。如果不了解或者暂时不写进开发板&

  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
XC7Z035-2FFG900I是一款基于TLZ7xH-EasyEVM开发板设计的FPGA芯片。该开发板采用了沉金无铅工艺的4层板设计,为用户提供了快速评估XC7Z035-2FFG900I的整体性能的平台。同时,在FPGA系统中,为了实现基于JTAG总线的调试,需要将设置在一个FPGA芯片中的旁路控制器分别连接到每个FPGA芯片中,这增加了FPGA系统连线的复杂度。此外,XC7Z035-2FFG900I与其他子节点之间可以通过RDMA技术建立访问通道,实现节点之间的数据传输和更新。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Xilinx Zynq-7000 SoC高性能处理器,集成PS端双核ARM Cortex-A9 + PL端Kintex-7架构可编程逻辑资源,PS端...](https://blog.csdn.net/Tronlong_/article/details/102484543)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [上海XC7K325T-2FFG900I508所指定合供方(雅创芯城)](https://blog.csdn.net/weixin_29382667/article/details/112810302)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值