ZYBOZ7从入门到进阶-2 zyboz7纯PL开发之LED点灯

本文介绍了如何使用Vivado 2018.2创建ZYBOZ7开发板的LED点灯工程,从创建新工程、添加源文件和约束文件,到进行RTL分析、综合、实现和生成比特流文件,最后将程序下载到开发板并运行。通过此过程,读者可以熟悉Vivado工具的使用。
摘要由CSDN通过智能技术生成

版权声明:本文为博主原创文章,未经博主允许不得转载。https://blog.csdn.net/a646123070

上一节,我们进行了ZYBOZ7的拆箱(ps:感觉在介绍一下具体的参数,性能指标就可以像手机测评一样搞个开发板测评了,哈哈)。同时,我们也进行了开发工具的安装,下面,让我们用一个简单的例子来熟练一下开发工具的使用。

1、创建一个新的空工程

首先打开桌面上的 Vivado 20**.* 的图标(我使用的版本是vivado2018.2),在Quick Start窗口下选择Create Project 。

然后在弹出的页面选择Next。

之后会弹出创建工程名和选择工程所在位置的页面,填写好这两项信息,同时勾选Create project subdirectory,之后点击next进入下一步。

接着选择工程类型,我们一般选择RTL Project,同时勾选Do not specify sources at this time(这样可以跳过添加源文件的步骤,源文件可以后面再添加),之后点击两次next跳过添加源文件和约束的页面进入下一步。

这一步是根据自己的开发板选择器件型号,可以通过Parts直接通过型号进行搜索,例如zyboz7-20开发板上的芯片型号为xc7z020clg400-1。也可以通过Boards找到开发板名字进行添加,这种方法需要先在vivado中添加zyboz7开发板信息,具体操作请参考vivado添加开发板信息,需要的文件我也上传到了csdn。如果不了解或者暂时不写进开发板,可以随便选一个型号,后面需要的时候再修改。之后点击next,进入下一步。

  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值