关于xilinx ise10.1与modelsim仿真库编译

关于xilinx ise10.1与modelsim仿真库编译
(2011-08-21 01:00:39)
转载▼
标签:
杂谈
分类: FPGA

首先介绍一下Xilinx几个主要的仿真库(路径:D:\Xilinx\11.1\ISE\verilog\src\)

1. Unsim文件夹:Library of Unified component simulation models。仅用来做功能仿真,包括了Xilinx公司全部的标准元件。每个元件使用一个独立的文件,这样是为了方便一些特殊的编译向导指令,如`uselib等。

2. XilinxCoreLib: CORE Generator HDL Library model。仅用来做功能仿真,包括了使用Xilinx Core Generator工具产生的IP仿真模型,例如FIFO等。

3. SIMPRIM: Library of generic simulation primitives。用来做时序仿真或者门级功能仿真。

4. SmartModel:用来模拟非常复杂的一些FPGA设计,其中用到了Power PC或者RocketIO等。

我们一般只用其中的三个库:simprims,unisims,xilinxcorelib。

 

安装ISE10.1, 没什么需要注意的。(有问题可以留言)

安装Modelsim,装好后需要做的事:

1、在C盘中建一个叫flexlm的文件夹,将keygen中的LICENSE.txt的文件放到上述文件夹中(将原来的删了重新产生࿰

  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值