ISE的仿真库编译步骤

Modelsim10.4与ISE14.7联合仿真
1、指定modelsim的安装位置

 2、编译安装仿真库
(1)编译仿真库
点击“开始菜单 -> Xilinx ISE Design Suite 13.2 -> ISE Design Tools -> 64-bit Tools -> Simulation Library Compilation Wizard”(如果是32位PC则点击32-bit Tools),如下图所示:

然后弹出如下界面:

然后弹出如下界面:



 

 开始编译

 这一个过程大约耗时20分钟


 

(2)从Process菜单,选择Process Properties...,设置Compiled Libraey Directory 

(3)复制ISE安装路径下D:\ise14.7\14.7\ISE_DS\EDK modelsim.ini的 [Library] 里以下内容到madelsim安装路径下的 F:\program\Modelsim10.4   modelsim.ini的 [Library] 里,注意粘贴之前,F:\program\Modelsim10.4   modelsim.ini的属性去掉只读的勾选

secureip = D:\ise14.7\14.7\ISE_DS\EDK/secureip
unisim = D:\ise14.7\14.7\ISE_DS\EDK/unisim
unimacro = D:\ise14.7\14.7\ISE_DS\EDK/unimacro
unisims_ver = D:\ise14.7\14.7\ISE_DS\EDK/unisims_ver
unimacro_ver = D:\ise14.7\14.7\ISE_DS\EDK/unimacro_ver
simprim = D:\ise14.7\14.7\ISE_DS\EDK/simprim
simprims_ver = D:\ise14.7\14.7\ISE_DS\EDK/simprims_ver
xilinxcorelib = D:\ise14.7\14.7\ISE_DS\EDK/xilinxcorelib
xilinxcorelib_ver = D:\ise14.7\14.7\ISE_DS\EDK/xilinxcorelib_ver
uni9000_ver = D:\ise14.7\14.7\ISE_DS\EDK/uni9000_ver
cpld = D:\ise14.7\14.7\ISE_DS\EDK/cpld
cpld_ver = D:\ise14.7\14.7\ISE_DS\EDK/cpld_ver
edk = D:\ise14.7\14.7\ISE_DS\EDK/edk

粘贴之后勾选只读属性

注意粘贴的位置

 此时使用Modelsim进行功能仿真或者时序仿真时,就可以通过.ini访问ise的库。

 

 




 

 

 

  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
根据引用内容,可以看出作者打算使用ISE14.7作为编辑编译器来编写常见的数字模块,然后使用ModelSim进行仿真。而根据引用内容,作者遇到了ISE14.7无法生成编译文件的问题,导致无法和ModelSim进行联合仿真。为了解决这个问题,可以参考引用中提供的详细解说,其中记录了如何进行ISE14.7和ModelSim进行联合仿真步骤。具体步骤请参考相关资料。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Modelsim10.5和ISE14.7安装及联合仿真](https://blog.csdn.net/qq_39496263/article/details/123023206)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [ISE14.7与modelsim联合仿真的问题](https://blog.csdn.net/qq_39140046/article/details/126760274)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [ISE14.7与modelsim联合仿真教程](https://download.csdn.net/download/a92897867a/9837431)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值