(数电实验报告)用Verilog–HDL语言设计一个8线3线优先编码器

`module encoder8_3(S,I7,I6,I5,I4,I3,I2,I1,I0,Y2,Y1,Y0,YS,YEX);

input S,I7,I6,I5,I4,I3,I2,I1,I0;

output Y2,Y1,Y0,YS,YEX;

reg Y2,Y1,Y0,YS,YEX;

always @(S,I7,I6,I5,I4,I3,I2,I1,I0)

begin if (S)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b1;

end

else

if(I7&&I6&&I5&&I4&&I3&&I2&&I1&&I0)
begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b1;

end

else if(!I7)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I6)

begin

Y2=1’b1;Y1=1’b1;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I5)
begin

Y2=1’b1;Y1=1’b0;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I4)

begin

Y2=1’b1;Y1=1’b0;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I3)

begin

Y2=1’b0;Y1=1’b1;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I2)

begin

Y2=1’b0;Y1=1’b1;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else if(!I1)

begin

Y2=1’b0;Y1=1’b0;Y0=1’b1;YS=1’b1;YEX=1’b0;

end

else if(!I0)

begin

Y2=1’b0;Y1=1’b0;Y0=1’b0;YS=1’b1;YEX=1’b0;

end

else

begin Y2=1’b0;Y1=1’b0;Y0=1’b0;YS=1’b0;YEX=1’b0;

end

end

endmodule`

  • 2
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值