8-3优先编码器 Verilog-modelsim

#8-3优先编码器 Verilog-modelsim

##tb.v (tb)

`timescale 1ns/1ns	
module tb;          
   reg [7:0] din;
  wire [2:0] dout;   

  initial begin
  	               din = 8'b00000000 ;
      forever #5   din = din+1;	
  end
				 

YOUXIAN83 u_YOUXIAN83
    (  .din       (din  ),
       .dout      (dout  )
    );									
  															
endmodule												

##test.do (sim)


# step 1
vlib work

# step 2
vlog ../rtl/83youxian.v
vlog ../tb/tb.v

#step 3
vsim tb


##83youxian.v (rtl)


module YOUXIAN83 ( din,dout );
 input  [7:0]  din;
 output [0:2] dout;
    reg [2:0] dout;
always @( * )
  begin
	       if (din==8'b???????0) dout=3'b000;
	  else if (din==8'b??????01) dout=3'b100;
	  else if (din==8'b?????011) dout=3'b010;
   	else if (din==8'b????0111) dout=3'b110;
   	else if (din==8'b???01111) dout=3'b001;
   	else if (din==8'b??011111) dout=3'b101;
	  else if (din==8'b?0111111) dout=3'b011;
	  else if (din==8'b01111111) dout=3'b111;
                          else dout=3'b000;
  end
endmodule
  • 4
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值