IUS通过PLI产生fsdb波形

fsdb是verdi所独有支持的文件类型,VCS和IUS需要调用PLI来得到fsdb文件。

  如果PLI的路径不对,fsdndumpfile和fsdndumpvar识别不出来。

IUS通过PLI接口来调用系统函数,产生fsdb波形,再由verdi来debug。

要调用fsdbDumpfile和fsdbDumpvars,需要在testcase的shell(或.cshrc等)中设置两个路径:

setenv LD_LIBRARY_PATH ${VERDI_INST_DIR}/share/PLI/${SIMULATOR_VERSION}/${PLATFORM}/boot:$LD_LIBRARY_PATH(setenv函数只在当前shell中有效)

+loadpli1=debpli:novas_pli_boot(或debpli:(directory of debpli.so))

 

如何打开基于这个工程的verdi:

在worklib++/ ,下使用命令verdi -top top。或者verdi -f file_list,如果直接打开波形加-ssf option

nWave -ssf ....fsdb 直接打开nwave界面

verdi  -ssf ....fsdb 直接打开nwave界面

 

转载于:https://www.cnblogs.com/-9-8/p/4383205.html

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值