目前正用到波形任务函数,先记录下,如果后面有了深入的理解回来补充:
xrun仿真工具要加的选项
+access+r
$dumpfile(“wave.vcd”);
$dumpvars(0,tb_uut);
xrun仿真工具要加的选项(需要知道fsdb的任务函数,加pli接口)
-loadpli1 debpli:novas_pli_boot
还需要加
-access +rwc否则fsdbDumpfile没有权限读取波形
适用于verdi的
$fsdbDumpfile(“wave.fsdb”);
$fsdbDumpvars(0,tb_uut);
$fsdbDumpMDA();//log memeroy定义的文件(二维向量)
适用于simvision的
$shm_open(“wave.shm”);
$shm_probe(tb_uut,“ACMFT”);