FPGA_串行加法器

四位串行加法器:

    被加数a,加数b,低位进位ci,和数s,进位co.

Verilog代码:

module cxjfq(a,b,ci,s,co);

input[3:0] a,b;
input ci;
output[3:0] s;
output co;

assign {co,s} = a+b+ci;


endmodule

仿真结果:

转载于:https://www.cnblogs.com/Sagoo/p/3219234.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值