用VHDL实现有限状态机

背景

在数字系统中,有两种基本类型的电路。第一类是组合逻辑电路在组合逻辑电路中,输出仅依赖于输入。组合逻辑电路的例子包括加法器,编码器和多路复用器。例如,在加法器中,输出只是输入的总和; 无论以前的输入或输出是什么都没有关系。第二种类型的数字逻辑电路是时序逻辑电路在顺序逻辑电路中,输出不仅取决于输入,还取决于系统的当前状态(即输出值和任何内部信号或变量)。顺序逻辑电路的复杂程度不同于简单的计数器,这些计数器以基本序列(例如,0,1,2,3 ... 0,1,2,3 ...)从一种状态移动到另一种状态,到超大规模电路(例如微处理器)本文的重点将放在顺序逻辑电路表示为有限状态机以及如何将这些有限状态机转换为硬件描述语言VHDL。

顺序逻辑系统是有限状态机(FSM)。作为FSM,它们由一组状态,一些输入,一些输出以及一组从状态到状态的规则组成。在进行数字系统设计时,从定义系统如何​​与有限状态机模型一起工作开始非常常见。这一设计步骤允许设计人员从高级角度考虑设计,而不必考虑系统将实施什么样的硬件或实施设计需要哪些设计工具。一旦完全设计FSM,如果设计良好,则很容易用硬件描述语言(例如Verilog或VHDL)写出设计,以便在数字IC(集成电路)上实现。

本文将通过首先定义设计问题来完成创建数字系统的设计过程,其次,将系统的计算模型创建为有限状态机,然后将FSM转换为硬件描述语言VHDL。(VHDL实际上是双重缩写词,VHDL代表VHSIC硬件描述语言,VHSIC代表甚高速集成电路)。

读者应该有一些数字电路和IC的经验他们还应该对VHDL有基本的了解,或者至少有一些阅读结构化计算机代码的经验。使用计算机代码的经验将帮助你认识到VHDL的一些结构和结构,但应该指出的是,VHDL不是一种编程语言; 它是一种硬件描述语言(HDL)。换句话说,您所编写的语句将在您正在设计的系统中创建硬件(门,触发器等)。

 

有限状态机

要设计的系统是一个非常简单的系统,其目的是引入将FSM转换为VHDL的想法。此FSM具有四个状态:A,B,C,和d该系统具有被称为一个输入信号P,和的值P确定什么状态系统移动到下一个。只要输入P为高电平(1),系统就会将状态从A更改BCD. 如果P低,并且系统处于状态A,BC,则状态不会改变。如果系统处于状态d,则切换到如果P高和,如果P低。系统还有一个称为R的输出,如果处于状态D

  • 13
    点赞
  • 93
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值