3-8译码器(case语句)

Verilog HDL学习笔记一

3-8译码器(case语句)

设计代码:

module decoder3_8(in,out);
input [2:0]in;
output reg [7:0]out;

always @(*)
begin

case(in)
3’b000: out=8’b00000001;
3’b001: out=8’b00000010;
3’b010: out=8’b00000100;
3’b011: out=8’b00001000;
3’b100: out=8’b00010000;
3’b101: out=8’b00100000;
3’b110: out=8’b01000000;
default: out=8’b10000000;
endcase

end

endmodule

测试代码:

module decoder3_8tb;
reg [2:0]in;
wire [7:0]out;

decoder3_8 unit(.in(in),.out(out));

initial

begin
in=3’b000;
#10 in=3’b000;
#10 in=3’b001;
#10 in=3’b010;
#10 in=3’b011;
#10 in=3’b100;
#10 in=3’b101;
#10 in=3’b110;
#10 in=3’b111;
end

endmodule

  • 4
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值