四选一数据选择器

Verilog HDL学习笔记二

四选一数据选择器

真值表:

控制端S1S0输出OUT
00in0
01in1
10in2
11in3

设计代码:

module mux4_to_1(out,in0,in1,in2,in3,s1,s0);
	input [1:0]in0,in1,in2,in3;
	input s1,s0;
	output reg [1:0]out;

always @ (*)
begin
	case({s1,s0})
		2'b00: out=in0;
		2'b01: out=in1;
		2'b10: out=in2;
		default: out=in3;
	endcase
end

endmodule

测试代码:

module mux4_to_1tb;
reg [1:0]in0,in1,in2,in3;
reg s1,s0;
wire [1:0]out;

mux4_to_1 unit(
	.in0(in0),
	.in1(in1),
	.in2(in2),
	.in3(in3),
	.s1(s1),
	.s0(s0),
	.out(out)
);

initial
	begin
	in0=2'b00;in1=2'b01;in2=2'b10;in3=2'b11;
	s1=1'b0;s0=1'b0;
	#20 s1=1'b0;s0=1'b0;
	#20 s1=1'b0;s0=1'b1;
	#20 s1=1'b1;s0=1'b0;
	#20 s1=1'b1;s0=1'b1;
	#20;
	end
endmodule
  • 15
    点赞
  • 99
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值