UVM基础知识0:在vcs中,sv通过DPI调用C函数实例

来源:systemverilog验证 测试平台编写指南(书籍)

1 新建factorial.c文件

int factorial (int i) {
  if (i <= 1) return i;
  else return i*factorial(i-1);
}

2 新建test.sv文件

import "DPI-C" function int factorial(input int i);
 
program automatic test;
  initial begin 
    for (int i; i <= 10; i++) 
      $display ("%0d! = %0d", i, factorial(i));
  end 
endprogram

3 制作makefile

vi makefile

run: vcs sim 
 
vcs:
	vcs -full64 -sverilog test.sv factorial.c
 
sim:
	./simv
 
clean:
	rm -f simv novas.conf *.log *.dump *.rc *.key test.fsdb
	rm -rf csrc/ simv.daidir/ verdiLog/

4 输入 make run 即可有以下结果
在这里插入图片描述

链接:https://blog.csdn.net/Times_poem/article/details/79801998

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值