FPGA1--数码管显示

本文介绍使用FPGA控制六位八段数码管显示的原理,通过74HC595位移缓存器进行数据传输,并详细阐述了设计思路与方案,包括系统时钟、位选和段选信号的生成以及数据拼接方法,实现000000~FFFFFF的静态显示循环。
摘要由CSDN通过智能技术生成

一.理论学习

数码管是一种半导体发光器件,其基本单元是发光二极管,我们经常用的是八段数码管

 

一般其分为共阳极二极管和共阴极二极管,通过配置a~dp端口的高低电平即可实现二极管亮灭,进而实现其数字显示。显示数字配置的二进制格式如下:

本次实验采用六位八段数码管

通过位选信号sel控制sel0~5的亮灭,段选信号a~dq选择所显示数据。

本次实验所选FPGA开发板采用两片74HC595位移缓存器控制数码管显示,74HC595是一个8位串行输入、并行输出的位移缓存器,内部具有8位移位寄存器和一个存储器,具有三态输出功能。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值