21 UVM printer

uvm_printer 类提供了以不同格式打印 uvm_objects 的灵活性。我们已经讨论了使用 `uvm_field_* 宏的 print() 方法,或者如果不使用 utils_begin/ end 宏,则编写 do_print() 方法。

UVM printer提供四种内置printer。

  1. uvm_printer
  2. uvm_table_printer
  3. uvm_tree_printer
  4. uvm_line_printer

1 uvm_printer hierarchy

2 UVM Printer Classes

3 UVM printer knobs

uvm_printer_knobs 类为所有printer类型的printer设置提供了额外的可行性。

uvm_printer_knobs knobs = new

uvm_printer_knobs 类中声明的一些变量。

size:控制是否打印字段的大小。

depth:表示打印对象时的递归深度。

4 UVM printer Methods

 

`include "uvm_macros.svh"
import uvm_pkg::*;

typedef enum{RED, GREEN, BLUE} color_type;

class temp_class extends uvm_object;
  rand bit [7:0] tmp_addr;
  rand bit [7:0] tmp_data;
  
  function new(string name = "temp_class");
    super.new(name);
  endfunction
  
  `uvm_object_utils_begin(temp_class)
    `uvm_field_int(tmp_addr, UVM_ALL_ON)
    `uvm_field_int(tmp_data, UVM_ALL_ON)
  `uvm_object_utils_end
endclass

class my_object extends uvm_object;
  rand int        value;
       string     names;
  rand color_type colors;
  rand byte       data[4];
  rand bit [7:0]  addr;
  rand temp_class tmp;
  
  `uvm_object_utils_begin(my_object)
    `uvm_field_int(value, UVM_ALL_ON)
    `uvm_field_string(names, UVM_ALL_ON)
    `uvm_field_enum(color_type, colors, UVM_ALL_ON)
    `uvm_field_sarray_int(data, UVM_ALL_ON)
    `uvm_field_int(addr, UVM_ALL_ON)
    `uvm_field_object(tmp, UVM_ALL_ON)
  `uvm_object_utils_end
  
  function new(string name = "my_object");
    super.new(name);
    tmp = new();
    this.names = "UVM";
  endfunction
endclass

class my_test extends uvm_test;
  `uvm_component_utils(my_test)
  my_object obj;
  bit packed_data_bits[];
  byte unsigned packed_data_bytes[];
  int unsigned packed_data_ints[];
  
  my_object unpack_obj;
  
  function new(string name = "my_test", uvm_component parent = null);
    super.new(name, parent);
  endfunction
  
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    obj = my_object::type_id::create("obj", this);
    assert(obj.randomize());
    `uvm_info(get_full_name(), "obj print without any argument", UVM_LOW);
    
    // Knob setting.
    uvm_default_printer.knobs.indent = 5;
    uvm_default_printer.knobs.hex_radix = "0x";
    
    obj.print();
    `uvm_info(get_full_name(), "obj print with uvm_default_table_printer argument", UVM_LOW);
    obj.print(uvm_default_table_printer);
    `uvm_info(get_full_name(), "obj print with uvm_default_tree_printer argument", UVM_LOW);
    obj.print(uvm_default_tree_printer);
    `uvm_info(get_full_name(), "obj print with uvm_default_line_printer argument", UVM_LOW);
    obj.print(uvm_default_line_printer);
  endfunction
endclass

module tb_top;
  initial begin
    run_test("my_test");
  end
endmodule

 output:

UVM_INFO testbench.sv(61) @ 0: uvm_test_top [uvm_test_top] obj print without any argument
--------------------------------------------------
Name                Type          Size  Value     
--------------------------------------------------
obj                 my_object     -     @349      
     value          integral      32    0x1f135537
     names          string        3     UVM       
     colors         color_type    32    GREEN     
     data           sa(integral)  4     -         
          [0]       integral      8     0x9f      
          [1]       integral      8     0x33      
          [2]       integral      8     0x12      
          [3]       integral      8     0x9c      
     addr           integral      8     0x2f      
     tmp            temp_class    -     @350      
          tmp_addr  integral      8     0x39      
          tmp_data  integral      8     0xbd      
--------------------------------------------------
UVM_INFO testbench.sv(68) @ 0: uvm_test_top [uvm_test_top] obj print with uvm_default_table_printer argument
--------------------------------------------------
Name                Type          Size  Value     
--------------------------------------------------
obj                 my_object     -     @349      
     value          integral      32    0x1f135537
     names          string        3     UVM       
     colors         color_type    32    GREEN     
     data           sa(integral)  4     -         
          [0]       integral      8     0x9f      
          [1]       integral      8     0x33      
          [2]       integral      8     0x12      
          [3]       integral      8     0x9c      
     addr           integral      8     0x2f      
     tmp            temp_class    -     @350      
          tmp_addr  integral      8     0x39      
          tmp_data  integral      8     0xbd      
--------------------------------------------------
UVM_INFO testbench.sv(70) @ 0: uvm_test_top [uvm_test_top] obj print with uvm_default_tree_printer argument
obj: (my_object@349) {
  value: 'h1f135537 
  names: UVM 
  colors: GREEN 
  data: {
    [0]: 'h9f 
    [1]: 'h33 
    [2]: 'h12 
    [3]: 'h9c 
  }
  addr: 'h2f 
  tmp: (temp_class@350) {
    tmp_addr: 'h39 
    tmp_data: 'hbd 
  }
}
UVM_INFO testbench.sv(72) @ 0: uvm_test_top [uvm_test_top] obj print with uvm_default_line_printer argument
obj: (my_object@349) { value: 'h1f135537  names: UVM  colors: GREEN  data: { [0]: 'h9f  [1]: 'h33  [2]: 'h12  [3]: 'h9c  } addr: 'h2f  tmp: (temp_class@350) { tmp_addr: 'h39  tmp_data: 'hbd  } } 

5 The print function defined in the uvm_object class

uvm_object 中的 print 函数实际上采用 uvm_printer 类类型作为参数。

function void uvm_object::print(uvm_printer printer=null);
  if (printer==null)
    printer = uvm_default_printer;
  if (printer == null)
    `uvm_error("NULLPRINTER","uvm_default_printer is null")
  $fwrite(printer.knobs.mcd,sprint(printer)); 
endfunction

### 回答1: 题目中提到的'b'uvm_table_printer\xe7\x9a\x84\xe7\x94\xa8\xe6\xb3\x95''指的是UVM库中的一个函数,用于打印UVM测试环境中的各种表格、列表等数据结构。调用该函数可以方便地查看、检测测试环境中的数据是否正确,从而提高编写测试用例的效率和可靠性。 ### 回答2: uvm_table_printer是UVM中一个非常实用的工具类,可以将UVM测试框架中的数据转化为易于阅读和理解的表格形式展示。uvm_table_printer所支持的数据类型包括UVM组件、UVM序列、UVM事务以及用户自定义的数据类型。 使用uvm_table_printer需要以下几个步骤: 1. 创建uvm_table_printer实例:可以使用默认构造函数或者自定义构造函数,创建一个uvm_table_printer实例。 2. 设定需要打印数据的粒度:可以通过uvm_table_printer的成员函数set_depth()来设置需要打印的数据粒度。例如,如果要打印所有组件及其下面的所有序列和事务,可以将深度设置为UVM_COMPONENT。 3. 设置需要打印的数据类型:使用uvm_table_printer的成员函数add_container()来指定需要打印的数据类型。可以指定UVM组件、UVM序列、UVM事务以及用户自定义的数据类型。如果不指定数据类型,uvm_table_printer会默认打印所有类型的数据。 4. 打印数据:使用uvm_table_printer的成员函数print()来打印数据。此函数可以接受一个参数,用于指定打印的目标。例如,可以将数据打印到文件、标准输出或者UVM报告中。 需要注意的是,使用uvm_table_printer需要在UVM测试框架中运行。在UVM测试环境中,可以在任何需要的地方调用uvm_table_printer的相关函数,来打印测试框架中的数据。 总体来说,uvm_table_printer是UVM测试框架中一个强大的工具,可以将测试框架中的数据转化为易于理解和阅读的形式,方便测试人员进行测试结果的分析和验证。同时,uvm_table_printer的使用也并不复杂,只需要按照步骤依次进行即可。 ### 回答3: uvm_table_printer是UVM框架中一个非常有用的工具,用于将各种类型的数据以表格的形式打印出来。它是基于uvm_printer类实现的,它提供了一种简便的方法来格式化输出各种数据类型,包括各种UVM对象的信息。 uvm_table_printer函数定义 uvm_table_printer函数定义如下: static function void uvm_table_printer::print(vector data,A... args) 其中data是需要打印的数据,A是参数模板,它允许用户传递一些参数设置。在使用uvm_table_printer函数时,用户需要将需要打印的数据以vector形式传递给该函数。参数A是可选的,可以帮助用户更好地格式化输出数据。 使用uvm_table_printer函数打印数据 使用uvm_table_printer函数打印数据非常简单。用户只需要创建一个要打印的数据对象并将其传递给uvm_table_printer函数即可。 例如: `uvm_table_printer::print(m_vec_of_data, "Column 1", "Column 2", "Column 3");` 其中,m_vec_of_data是一个存储要打印的数据的vector对象,"Columm1","Column2"和"Column3"是表格中每一列的标题。在这种情况下,打印出的表格将包括三列:Column 1、Column 2和Column3。 设置列宽和对齐方式 当打印表格时,用户可以使用uvm_table_printer的一些其他函数来设置列的宽度和对齐方式。下面是一些常用的函数: set_col_widths(string widths) 该函数用于设置表格中每一列的宽度。用户可以通过指定一串 csv 格式的数字来设置每一列的宽度。例如,如果要将表格中的第一列设置为10个字符宽度,第二列设置为20个字符宽度,第三列设置为30个字符宽度,可以使用如下代码来实现: `printer.set_col_widths("10,20,30");` set_alignment(string alignments) 该函数用于设置表格中每一列的对齐方式。用户可以通过设置csv格式的字符来指定对齐方式,其值可以为 'L'、'C'和 'R',分别表示左对齐、居中对齐和右对齐。例如,如果要将表格中的第一列设置为左对齐,第二列设置为中心对齐,第三列设置为右对齐,可以使用如下代码来实现: `printer.set_alignment("L,C,R");` 总之,uvm_table_printer是UVM框架中非常有用的工具,可以用于将各种类型的数据以表格的形式打印出来。它提供了简单且灵活的方法,方便用户对数据进行格式化输出,使得数据的阅读和理解更加便捷和直观。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值