uvm_table_printer

uvm_table_printer printer_name;

UVM_FILE file_handle;

 

in build_phase

printer_name = new();

printer_name.knobs.depth = 3; (Indicates how deep to recurse when printing objects.  A depth of -1 means to print everything.)

printer_name.knobs.default_radix = UVM_HEX;

printer_name.knobs.begin_elements = -1; (if add  Prints a range using ellipses for values  )

file_handle = $fopen("./file_name.txt","w+");

 

 

when need print trans;

printer_name.knobs.mcd = file_handle;

trans.print(printer_name);

 

https://verificationacademy.com/verification-methodology-reference/uvm/docs_1.1b/html/files/base/uvm_printer-svh.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Holden_Liu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值