Verilog复习(二)| 时延

0

时延分为惯性延迟(Inertial Delay (Gates) )和传输延迟(Transport Delay (Nets) )

示例:

wire  #5  net_1; // 5 unit transport delay
and #4 (z_out, x_in, y_in);  // 4 unit inertial delay
assign #3 z_out = a & b; // 3 unit inertial delay
wire #2 z_out; // 2 unit transport delay
and #3 (z_out, x_in, y_in); // 3 for gate, 2 for wire
wire #3 c;  // 3 unit transport delay
assign #5 c = a & b; // 5 for assign, 3 for wire

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值