学习ZYNQ之FPGA8(led灯闪烁)

 

上图为控制led灯闪烁的原理图,其中时钟信号、复位信号为输入信号,led为输出信号,led包括led0和led1,所以输出信号应该是两位的,时钟的频率为50MHz,所以计时一秒需要50M次。本次实验以两个灯分别亮0.5秒。 核心板和底板的led灯都是共阴极的,需要给高电平。

 

module led_twinkle(

    input          clk,                            //定义时钟信号
    input          rst_n,                          //定义复位信号,低电平有效

    output  [1:0]  led                             //定义两位led信号 
);
reg  [25:0]  cnt;                                  //设置26位二进制寄存器,用于计数

assign led=(cnt<=2500_0000) ? 2'b01 : 2'b10;       //组合逻辑,0.5秒前亮led0,0.5秒后亮led1

always @ (posedge clk or negedge rst_n) begin      //时序逻辑,敏感信号为时钟上升沿和复位下降沿
    if(!rst_n)
        cnt<=26'd0;
    else if(cnt<26'd5000_0000)
        cnt<=cnt+1'b1;
    else
        cnt<=26'd0;                                 //两种复位条件,一种是复位信号为低电平一种
end                                                 //是计数值达到要求   
endmodule

存在问题:  cnt<=cnt+1'b1;   可以进行

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值