FPGA LED闪烁

要求:开发板四个LED以1ms依次闪烁

`timescale 1ns/1ps

module led_text(  
						clk,  //开发板的时钟50Mhz
						rst_n,
						led
    );

input clk;
input rst_n;
output [3:0] led;

reg[31:0] timer;   //计数寄存器
reg[3:0] led;

always @(posedge clk or negedge rst_n)
		begin 
			if (~rst_n)    //复位信号低电平有效
				timer <=0;
			else if (timer==32'd199_999)
				timer <=0;
			else
				timer<=timer+1'b1;
			end
always @(posedge clk or negedge rst_n)
		begin 
			if (~rst_n)
				led <= 4'b1111;  //led低电平有效
			else if (timer==32'd49_999)
				led <= 4'b0111;
			else if  (timer==32'd99_999)
				led <= 4'b1011;
			else if (timer==32'd149_999)
				led <= 4'b1101;
			else if (timer==32'd199_999)
				led <= 4'b1110;
			else
				led <= led ;
			end
			
endmodule
module vtf_led_text;

	// Inputs
	reg clk;
	reg rst_n;

	// Outputs
	wire [3:0] led;

	// Instantiate the Unit Under Test (UUT)
	led_text uut (
		.clk(clk), 
		.rst_n(rst_n), 
		.led(led)
	);

	initial begin
		// Initialize Inputs
		clk = 0;
		rst_n = 0;

		// Wait 100 ns for global reset to finish
			#100;
			rst_n = 1;
			#6000000;
			rst_n = 0;
			#1000000;
			rst_n = 1;
			//#2000;
		//$stop;
        
		// Add stimulus here

	end
	always #10 clk=~clk;   //50Mhz时钟源
	   
endmodule

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值