FPGA:基础入门LED灯闪烁

里插入代码片题目概述:
使LED灯闪烁。

编程:

`timescale 1ns / 1ps

module led_twinkle(
input sys_clk,
input sys_rst_n,
output [1:0] led
    );     
       
reg [25:0] cnt;

assign led=(cnt<26'd2500_0000)?2'b01:2'b10;

always@(posedge sys_clk or negedge sys_rst_n)
begin
  if(!sys_rst_n)
  cnt<=26'd0;
  else if(cnt<5000_0000)
  cnt<=cnt+1'b1;
  else
  cnt<=26'd0;
end    

endmodule

上机实践:

QQ短视频20220804155930

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值