UVM——双向通信

UVM——双向通信

1. 概述

  • 与单向通信相同的是,双向通信(bidirectional communication)的两端也分为initiator和targer,但是数据流向在端对端之间是双向的;
  • 双向通信中的两端同时扮演着producer和consumer的角色,而initiator作为request发起方,在发起request之后,还会等待response返回;
  • UVM双向端口分为一下类型:
    • uvm_blocking_transport_PORT
    • uvm_nonblocking_transport_PORT
    • uvm_transport_PORT
    • uvm_blocking_master_PORT
    • uvm_nonblocking_master_PORT
    • uvm_master_PORT
    • uvm_blocking_slave_PORT
    • uvm_nonblocking_slave_PORT
    • uvm_slave_PORT

2. 分类

  • 双向端口按照通信握手方式分类:
    • transport双向通信方式
    • master和slave双向通信方式
  • transport端口通过transp()方法,可以在同一方法调用过程中完成REQ和RSP的发出和返回;
  • master和slave的通信方式必须分别通过put、get和peek的调用,使用两个方法才可以完成一次握手通信;
  • master端口和slave端口的区别在于,当initiator作为master时,他会发起REQ送至target端,然后再从target端获取RSP;当initiator使用slave端口时,它会先从target端获取REQ,然后将RSP送至target端;
  • 对于master端口或者slave端口的实现方式,类似于单向通信方式,只是imp端口所在的组件需要实现的方法更多了;

3. transport

class comp1 extends uvm_component;
  uvm_blocking_transport_port #(itrans, otrans) bt_port;
  `uvm_component_utils(comp1)
  ...
  task run_phase(uvm_phase phase);
    itrans itr;
    otrans otr;
    int trans_num = 2;
    for(int i = 0; i < trans_num; i++) begin
      itr = new("itr", this);
      itr.id = i;
      itr.data = 'h10 + i;
      this.bt_port.transport(itr, otr);
      `uvm_info("TRSPT", $sformatf("put itrans id: 'h0x, data: 'h0x | get otrans id: 'h0x, data: 'h0x", itr.id, itr.data, otr.id, otr.data), UVM_LOW)
    end
  endtask
endclass

class comp2 extends uvm_component;
  uvm_blocking_transport_imp #(itrans, otrans, comp2) bt_imp;
  `uvm_component_utils(comp2)
  ...
  task transport(itrans req, output otrans rsp);
    rsp = new("rsp", this);
    rsp.id = req.id;
    rsp.data = req.data << 8;    
  endtask
endclass

class env1 extends uvm_env;
  comp1 c1;
  comp2 c2;
  `uvm_component_utils(env1)
  ...
  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    c1 = comp1::type_id::create::("c1", this);
    c2 = comp2::tyoe_id::create::("c2", this);
  endfunction: build_phase
  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
    c1.bt_port.connect(c2.bt_imp);
  endfunction: connect_phase
endclass

在这里插入图片描述

在这里插入图片描述

  1. comp1是initiator,comp2是target,事先定义好的传输类型itrans和otrans
  2. 例化端口
  3. 在target一侧定义对应import需要具备的方法,transport()
  4. 在顶层环境做连接
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值