Quartus II 下FPGA管脚锁定

在完成Quartus II的新建工程、编译和排错后,进入管脚锁定和电平设置步骤。以FII-PRA006开发板上的全加器为例,通过Pin Planner将模块输入输出与FPGA管脚对应,并通过拖拽方式锁定。同时,需修改I/O电平标准以匹配硬件的3.3V,确保LVCMOS或LVTTL的一致性,避免混合使用。最后重新编译并进行FPGA下载实验。
摘要由CSDN通过智能技术生成

Quartus II 下FPGA管脚锁定

在新建工程、编辑文件、编译、排错完成后就进入管脚锁定以及电平设置阶段。这里还是以一位全加器为例介绍管脚锁定。开发板使用FII-PRA006. 开发工具Quartus 18.1。

一位全加器的module 输入、输出与开发板,FPGA的对应关系,见表1。

表1

程序信号名 网络标号 FPGA管脚 端口说明 对应 module的输入、输出
co SW7_LED7 77 Led灯第7位 co
sum SW6_LED6 76 Led灯第6位 sum
b SW2_LED2 86 SW灯第2位 b
a SW1_LED1 83 SW灯第1位 a
ci SW0_LED0 80 SW第0位 ci
  1. 管脚锁定

在Quartus II点击工具栏 Pin planner如图1,进入Pin planner 编辑界面。

Quartus_toolbar

图1

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值