寄存器组和寄存器(存储器)阵列

1.实验目的:
寄存器组和寄存器(存储器)阵列
2.实验内容:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

3.实验原理:按照书上的内容,书写和运行代码,完成仿真操作
实验代码:
设计模块

module Register_File#(parameter word_size=32,addr_size=5)
(output [word_size-1:0] Data_Out_1,Date_Out_Out_2,
	input    [word_size-1:0]   Data_in,
	input    [addr_size-1:0]    Read_Ad
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值