采用Verilog描述mealy型有限状态机的规范

1.实验目的:
采用Verilog描述mealy型有限状态机的规范

2.实验原理:按照书上的内容,书写和运行代码,完成仿真操作

3.实验代码
(1)设计模块

module mealy(Clock, Resetn, w,z);
	input Clock, Resetn, w;
	output reg z;
	reg y, Y;
	parameter A=1'b0,B=1'b1;
	
	always @(w, y)
	case (y)
	A: if(w) 
		begin
			z=0;
			Y=B;
		end
		else
		begin
			z=0;
			Y= A;
		end
	B: if(w)
		begin
			z= 1;
			Y=B;
		end
		else
		begin
			z= 0;
			Y =A;
		end
	endcase
	
	always  @(negedge Resetn, posedge Clock)
	if (Resetn==0)  y<=A;
	else y<= Y;
	
endmodule 

(2)测试模块

`timescale 1ns/1ps
module tb_mealy();
	reg clock, resetn, w;
	wire y;
	
	mealy mymealy(.Clock(clock),.Resetn(resetn),.w(w),.z(y));
	
	initial
	begin
		clock=1'b0;
		resetn=1'b0;
	end
	always #10 clock = ~clock;
	always #5 resetn = ~resetn;
	initial
	begin
		w=1'b0;
		#10 w=1'b1;
		#10 w=1'b0;
		#10 w=1'b1;
		#10 w=1'b0;
		#10 w=1'b1;
		#10 w=1'b0;
		#10 w=1'b1;
		#20 $stop;
	end

endmodule

4.实验工具:modlsim软件。

5.实验截图:
在这里插入图片描述
6.实验视频:
请下载哔哩哔哩动画打开此网址:https://b23.tv/uiqsix

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值