RETHINKING MACHINE UNLEARNING FOR LARGE LANGUAGE MODELS

本文深入研究了大型语言模型(LLM)的机器遗忘(MU),旨在消除敏感信息并保持模型性能。讨论了遗忘的各个方面,包括概念、方法、评估和应用,强调遗忘范围、数据模型交互和多维度评估的重要性。同时,探索了LLM遗忘与模型编辑、影响函数等领域的联系,并提出了遗忘的评估框架,讨论其在版权保护和减少社会技术危害中的应用。
摘要由CSDN通过智能技术生成

本文是LLM系列文章,针对《RETHINKING MACHINE UNLEARNING FOR LARGE LANGUAGE MODELS》的翻译。

摘要

我们在大型语言模型(LLM)领域中探索机器遗忘(MU),称为LLM遗忘。这一举措旨在消除不希望的数据影响(如敏感或非法信息)和相关的模型能力,同时保持基本知识生成的完整性,不影响因果无关的信息。我们设想LLM学习将成为LLM生命周期管理的一个关键要素,有可能成为开发生成性人工智能的重要基础,该人工智能不仅安全、可靠,而且资源高效,无需全面再训练。我们从概念表述、方法、指标和应用的角度来浏览LLM中的遗忘景观。特别是,我们强调了现有LLM遗忘研究中经常被忽视的方面,例如遗忘范围、数据模型相互作用和多方面疗效评估。我们还将LLM遗忘与相关领域联系起来,如模型编辑、影响函数、模型解释、对抗性训练和强化学习。此外,我们概述了LLM遗忘的有效评估框架,并探讨了其在版权和隐私保护以及减少社会技术伤害方面的应用。

1 引言

2 前言和相关工作

3 开箱LLM遗忘

4 重新审视LLM的遗忘方法:现有技术和被忽视的原则

<
  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
回答: 本文提出了一种名为EfficientFormerV2的高效网络,旨在重新思考Vision Transformers以实现与MobileNet相当的模型大小和速度。作者结合了细粒度联合搜索策略,通过一系列的设计和优化,使EfficientFormerV2在相同参数量和延迟下比MobileNetV2在ImageNet验证集上的性能高出4个百分点。\[1\]该网络的设计考虑了资源受限型硬件的需求,特别关注模型的参数量和延迟,以适应端侧部署的场景。\[2\]如果您对EfficientFormerV2感兴趣,可以通过扫描二维码或添加微信号CVer222来获取论文和代码,并申请加入CVer-Transformer微信交流群。此外,CVer学术交流群也提供了其他垂直方向的讨论,包括目标检测、图像分割、目标跟踪、人脸检测和识别等多个领域。\[3\] #### 引用[.reference_title] - *1* *3* [更快更强!EfficientFormerV2来了!一种新的轻量级视觉Transformer](https://blog.csdn.net/amusi1994/article/details/128379490)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [EfficientFormerV2: Transformer家族中的MobileNet](https://blog.csdn.net/CVHub/article/details/129739986)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UnknownBody

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值