vivado 主时钟分析

本文介绍了Vivado设计中的主时钟概念,如何通过create_clock命令定义,以及板时钟、工作循环和相位设置。还讨论了虚拟时钟的定义,包括其在外部设备I/O和非整数周期时钟约束中的应用。XDC约束在时钟配置中的重要性也得到提及。
摘要由CSDN通过智能技术生成

主时钟

主时钟是通过输入端口或千兆位进入设计的板时钟收发器输出引脚(例如恢复的时钟)。主时钟只能由create_clock命令定义。主时钟必须附加到网表对象。此网表对象表示中的点所有时钟边沿源自其并在时钟树上向下游传播的设计。换句话说,主时钟的源点定义Vivado IDE使用的时间零点当计算松弛方程中使用的时钟延迟和不确定性时。

必须首先定义主时钟,因为其他定时约束通常会引用它们。主时钟示例如下图所示,板时钟通过端口sysclk进入设备,然后在到达路径寄存器之前传播通过输入缓冲器和时钟缓冲器。

•其周期为10 ns。

•其工作循环为50%。

•其相位没有偏移。

Corresponding XDC:
create_clock -period 10 [get_ports sysclk]

与sysclk类似,板时钟devclk通过端口ClkIn进入设备。

•其周期为10 ns。

•其工作循环为25%。

•相位偏移90度。

对应的XDC:

create_clock -name devclk -period 10 -waveform {2.5 5} [get_ports ClkIn]

下图显示了一个收发器gt0,它从高速恢复时钟rxclk板上的链接。时钟rxclk具有3.33ns的周期,50%的占空比,并且被路由到MMCM,它为设计生成几个补偿时钟。当在GT0的输出驱动器引脚上定义rxclk时,由MMCM有一个共同的源点,即gt0/RXOUTCLK。路径上的松弛计算它们之间使用适当的时钟延迟和不确定性值。

create_clock -name rxclk -period 3.33 [get_pins gt0/RXOUTCLK]

在下图中,差分缓冲器驱动PLL。在这种情况下,主时钟必须仅在差分缓冲器的正输入上创建。在上创建主时钟缓冲器的每个正/负输入将导致不切实际的CDC路径。对于示例:

create_clock -name sysclk -period 3.33 [get_ports SYS_CLK_clk_p]

虚拟时钟

虚拟时钟是指在设计中没有物理连接到任何网表元素的时钟。虚拟时钟是通过create_clock命令定义的,而不指定源对象虚拟时钟通常用于指定其中一个中的输入和输出延迟约束以下情况:

•外部设备I/O参考时钟不是设计时钟之一。

•FPGA I/O路径与无法正确计时的内部生成时钟有关与衍生它的板时钟相反。

注意:当两个周期之间的比率不是整数时,就会发生这种情况。这导致了一个非常紧凑且不切实际的时序路径要求。

•您只想为与I/O延迟相关的时钟指定不同的抖动和延迟而不修改内部时钟特性。

例如,时钟clk_virt具有10ns的周期,并且不附加到任何网表对象。未指定[<objects>]参数。在这种情况下,-name选项是必需的。create_clock-名称clk_virt-周期10在被输入和输出延迟约束使用之前,必须定义虚拟时钟。

  • 12
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值