vivado FSM_SAFE_STATE

FSM_SAFE_STATE
此属性可以在RTL和XDC中设置。
Vivado合成工具支持在各种情况下提取有限状态机(FSM)
配置由FSM_ENCODING属性或-FSM_traction确定
Vivado合成的命令行选项。请参阅《Vivado设计套件用户指南》:
综合(UG901)[参考文献18]以获取更多信息。
状态机可以进入无效或“不可访问”状态,导致设计
失败FSM_SAFE_STATE告诉合成将逻辑插入状态机,状态机检测是否
存在无效状态,然后在下一个时钟周期将其置于已知状态。如果FSM
如果进入无效状态,FSM_SAFE_state属性将定义一个恢复状态,以便在以下情况下使用
在Vivado合成工具中合成FSM。
提示:在提供FSM状态的安全恢复的同时,此特性会影响合成的质量
结果,通常会导致更大面积的性能降低。

Values
reset_state : Return the state machine to the RESET state, as determined by the
Vivado synthesis tool.
power_on_state : Return the state machine to the POWER_ON state, as determined
by the Vivado synthesis tool.
default_state : Return the state machine to the default state, as defined by the state
machine; even if that state is unreachable, using Hamming-2 encoding detection for
one bit/flip.
auto_safe_state : implies Hamming-3 encoding.
Syntax
Verilog Example
(* fsm_safe_state = "reset_state" *) reg [2:0] state;
(* fsm_safe_state = "reset_state" *) reg [7:0] my_state;
VHDL Example
type count_state is (zero, one, two, three, four, five, six, seven);
signal my_state : count_state;
attribute fsm_safe_state : string;
attribute fsm_safe_state of my_state : signal is "power_on_state";
XDC Example
set_property fsm_safe_state reset_state [get_cells state_reg*]
  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值