2021-08-04

转载:
描述
Does Vivado Synthesis support the FSM Safe Implementation feature?
解决方案
Starting with 2013.2, Vivado Synthesis supports the safe implementation feature via HDL.

As part of this support by the tool, you can set the safe implementation state to either a reset or “power on” state.

For example:

reg [FSM_BITS-1:0] state /*synthesis fsm_safe_state = “reset_state” */

This will tell Vivado Synthesis to use reset_state as a safe state.

If the state machine does not have reset_state or power_on_state, then the safe state will not be implemented.

At present, these are the only safe implementation states supported by the tool.

In future Vivado Synthesis releases, user-specified states will be supported.

For versions prior to 2013.2, users are requested to add a default state to a finite state machine case statement with -fsm_extraction set to “NO” in order to guarantee that Vivado Synthesis uses the default statement.

Vivado Synthesis will use the default state and redirect invalid or unreachable states using this default clause statement.

If -fsm_extraction is set to Yes, care should be taken to make sure that the FSM has reachable states.

Optimization of the default statement can occur for unreachable states.

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值