PULLDOWN

重要提示:PULLOWN属性已被弃用,应替换为PULLTYPE。
PULLDOWN在三态输出或双向端口上施加弱逻辑低电平
防止其漂浮。PULLOWN属性保证逻辑低电平,以允许
三层网,避免在不被驱动时漂浮。
输入缓冲器(如IBUF)、3态输出缓冲器(如OBUFT)和双向缓冲器
(例如,IOBUF)可以具有弱上拉电阻、弱下拉电阻或弱“保持器”
电路。通过将PULLTYPE属性添加到以下选项之一,可以调用此功能
连接到缓冲区的端口或网络对象的以下属性:
•拉起
•下拉
•管理员

注意:应用此属性后,RTL期间将不会显示PULLOWN功能
仿真,可以在RTL仿真和实现的仿真之间产生功能差异
设计。此功能可以使用门级仿真网表或PULLOWN进行验证
为了反映这一点,UNISIM可能会在设计中实例化,而不是使用此属性
RTL仿真中的行为。
有关更多信息,请参阅Vivado Design Suite 7系列FPGA和Zynq-7000 SoC
库指南(UG953)[参考25]或超大规模体系结构库指南(UG 974)
[参考文献26]。
架构支持
所有架构。
适用对象
•端口(get_Ports):适用于任何顶级端口。
价值观
•TRUE | YES:使用下拉电路避免信号在未被驱动时浮动。
•FALSE | NO:不使用下拉电路(默认)。

Syntax
Verilog Syntax
Place the Verilog attribute immediately before the module or instantiation. Specify as
follows:
(* PULLDOWN = " {YES|NO|TRUE|FALSE}" *)
VHDL Syntax
Declare the VHDL attribute as follows:
attribute pulldown: string;
Specify the VHDL attribute as follows:
attribute pulldown of signal_name : signal is “{YES|NO|TRUE|FALSE}”;
XDC Syntax
set_property PULLDOWN {TRUE|FALSE} [get_ports port_name]
Where
port_name is the name of an input, output, or inout port.
XDC Syntax Example
# Use a pulldown circuit
set_property PULLDOWN TRUE [get_ports wbWriteOut]
  • 6
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值