自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(411)
  • 资源 (3)
  • 收藏
  • 关注

原创 vivado 物理约束CLOCK_DEDICATED_ROUTE

vivado 物理约束CLOCK_DEDICATED_ROUTE

2024-05-23 21:01:41 304

原创 vivado 物理约束KEEP_HIERARCHY

vivado 物理约束KEEP_HIERARCHY

2024-05-23 20:59:13 592

原创 vivado 物理约束

vivado 物理约束

2024-05-22 21:38:45 544

原创 vivado 时序约束

时序约束

2024-05-22 21:33:36 664

原创 vivado 在PlanAhead工具中将UCF转换为XDC

vivado 在PlanAhead工具中将UCF转换为XDC

2024-05-21 21:38:50 481

原创 vivado 将UCF约束迁移到XDC

vivado 将UCF约束迁移到XDC

2024-05-21 21:37:20 291

原创 vivado 理解信息的差异

vivado 理解信息的差异

2024-05-20 21:14:27 283

原创 vivado 映射Makefiles

vivado 映射Makefiles

2024-05-20 21:11:13 512

原创 vivado 映射ISE设计套件命令脚本

vivado 映射ISE设计套件命令脚本

2024-05-19 20:03:33 669

原创 ISE设计套件设计到Vivado设计套件

ISE设计套件设计到Vivado设计套件

2024-05-19 20:00:16 453

原创 ISE设计套件简介

ISE设计套件简介

2024-05-18 17:01:57 231

原创 zynq PL、PS交互---AXI HP

zynq PL、PS交互---AXI HP

2024-05-18 12:57:14 801

原创 vivado hw_server 的命令行选项

vivado hw_server 的命令行选项

2024-05-16 20:16:19 829

原创 vivado Versal 配置存储器器件

vivado Versal 配置存储器器件

2024-05-16 20:13:25 191

原创 Zynq UltraScale+ RFSoC 配置存储器器件

Zynq UltraScale+ RFSoC 配置存储器器件

2024-05-15 21:52:07 303

原创 Zynq UltraScale+ MPSoC 配置存储器器件

Zynq UltraScale+ MPSoC 配置存储器器件

2024-05-15 21:49:33 195

原创 Zynq-7000 配置存储器器件-NAND FLASH

Zynq-7000 配置存储器器件-NAND FLASH

2024-05-14 21:13:32 178

原创 Zynq-7000 配置存储器器件

Zynq-7000 配置存储器器件

2024-05-14 21:10:43 176

原创 vivado Virtex UltraScale+ 配置存储器器件

vivado Virtex UltraScale+ 配置存储器器件

2024-05-13 20:15:32 162

原创 vivado Virtex UltraScale 配置存储器器件

vivado Virtex UltraScale 配置存储器器件

2024-05-13 20:13:41 302

原创 vivado Kintex UltraScale+ 配置存储器器件

vivado Kintex UltraScale+ 配置存储器器件

2024-05-13 20:07:51 192

原创 vivado Kintex UltraScale 配置存储器器件

vivado Kintex UltraScale 配置存储器器件

2024-05-12 21:21:44 298

原创 vivado Virtex-7 配置存储器器件

vivado Virtex-7 配置存储器器件

2024-05-12 21:19:22 221

原创 vivado Spartan-7 配置存储器器件

vivado Spartan-7 配置存储器器件

2024-05-11 21:38:52 301

原创 vivado Kintex-7 配置存储器器件

vivado Kintex-7 配置存储器器件

2024-05-11 21:37:30 257

原创 vivado 配置存储器支持-Artix-7 配置存储器器件

vivado 配置存储器支持-Artix-7 配置存储器器件

2024-05-10 21:59:54 255

原创 vivado hw_server 支持的 JTAG 线缆和器件

vivado hw_server 支持的 JTAG 线缆和器件

2024-05-10 21:57:58 162

原创 vivado 低级别 SVF JTAG 命令、多链 SVF 操作

vivado 低级别 SVF JTAG 命令、多链 SVF 操作

2024-05-09 21:17:51 921

原创 vivado 低级别 SVF JTAG 命令

vivado 低级别 SVF JTAG 命令

2024-05-09 21:15:48 1133

原创 Verilog 触发器状态机语言描述-条件语句

Verilog 触发器状态机语言描述-条件语句

2024-05-08 21:49:26 964

原创 Verilog 触发器状态机语言描述

Verilog 触发器状态机语言描述

2024-05-08 21:47:06 484

原创 vivado Versal ACAP 可编程器件镜像 (PDI) 设置

vivado Versal ACAP 可编程器件镜像 (PDI) 设置

2024-05-07 20:54:12 300

原创 vivado Zynq UltraScale+ MPSoC 比特流设置

vivado Zynq UltraScale+ MPSoC 比特流设置

2024-05-07 20:52:55 266

原创 vivado Virtex 和 Kintex UltraScale+ 比特流设置

vivado Virtex 和 Kintex UltraScale+ 比特流设置

2024-05-06 21:27:02 257 1

原创 vivado UltraScale 比特流设置

vivado UltraScale 比特流设置

2024-05-06 21:25:10 250 1

原创 器件配置比特流或 PDI 设置-Zynq-7000 比特流设置

器件配置比特流或 PDI 设置-Zynq-7000 比特流设置

2024-05-05 22:39:11 133

原创 器件配置比特流或 PDI 设置-7 系列比特流设置

器件配置比特流或 PDI 设置-7 系列比特流设置

2024-05-05 22:37:13 164

原创 vivado 在硬件中调试串行 I/O 设计- 查看 Slicer 眼图、直方图和信噪比图(仅限 GTM 收发器)

vivado 在硬件中调试串行 I/O 设计- 查看 Slicer 眼图、直方图和信噪比图(仅限 GTM 收发器)

2024-05-05 22:34:50 275

原创 vivado 在硬件中调试串行 I/O 设计-属性窗口

vivado 在硬件中调试串行 I/O 设计-属性窗口

2024-05-05 22:33:32 630

原创 vivado Aurora 8B/10B IP核(12)- Setp By Step搭建FPGA工程

vivado Aurora 8B/10B IP核(12)- Setp By Step搭建FPGA工程

2024-05-04 21:01:33 370

FPGA加法器verilog实现

FPGA加法器verilog实现

2024-04-20

FPGA 多路分频器实现

FPGA 多路分频器实现,verilog语言实现,

2024-04-20

FPGA状态机verilog代码

FPGA状态机verilog代码

2024-04-13

直接序列扩频的FPGA实现

直接序列扩频的FPGA实现,基于altera 的FPGA,基于quartus开发软件实现

2024-04-05

成型滤波器的系数的生成MATLAB实现

成型滤波器的系数的生成MATLAB实现,应用于FPGA开发,生成的系数应用与滤波器的IP核使用

2024-04-05

PN码同步的FPGA实现

PN码同步的FPGA实现,verilog语言实现,基于altera FPGA,开发软件为quartus

2024-04-04

PN码捕获的MATLAB实现

PN码捕获的MATLAB实现

2024-04-03

PN代码生成及mif文件生成

PN代码生成及mif文件生成,MATLAB实现,基于altera FPGA实现,quartus开发软件,作为rom核的存储文件mif文件

2024-04-03

DSSP直接序列扩频的FPGA实现

DSSP直接序列扩频的FPGA实现,verilog语言实现,基于altera FPGA实现

2024-04-02

PN码产生的MATLAB实现

PN码产生的MATLAB实现

2024-04-02

gardner环的FPGA实现

gardner环的FPGA实现,verilog语言实现,基于altera FPGA实现,quartus开发软件实现

2024-03-30

gardner环的MATLAB实现2

gardner环的MATLAB实现

2024-03-29

gardner环的MATLAB实现1

gardner环的MATLAB实现

2024-03-29

QamCarrierPolar verilog

QamCarrierPolar verilog,FPGA,quartus

2024-03-28

QamCarrierDD verilog

QamCarrierDD verilog ,基于quartus

2024-03-28

锁相环环路滤波器极点图MATLAB实现

锁相环环路滤波器极点图MATLAB实现

2024-03-27

锁相环环路滤波器零点图MATLAB实现

锁相环环路滤波器零点图MATLAB实现

2024-03-27

QAM调制的FPGA实现

QAM调制的FPGA实现,verilog语言

2024-03-25

QAM调制的MATLAB仿真实现

QAM调制的MATLAB仿真实现

2024-03-24

Fpga PiQpsk Modem

Fpga PiQpsk Modem

2024-03-24

π/4 QPSK调制的FPGA实现

π/4 QPSK调制的FPGA实现,verilog,基于altera FPGA实现。

2024-03-23

π/4 QPSK调制的MATLAB实现

π/4 QPSK调制的MATLAB实现

2024-03-23

DQPSK解调的FPGA实现

DQPSK解调的FPGA实现

2024-03-22

极化科斯塔斯锁相环的FPGA实现

极化科斯塔斯锁相环的FPGA实现,PolarCostas

2024-03-20

DQPSK的FPGA实现

DQPSK的FPGA实现,verilog,基于altera FPGA,quartus实现

2024-03-20

XQVR300的Altium designer 原理图封装

XQVR300的Altium designer 原理图封装

2024-03-19

MSK解调的FPGA实现

MSK解调的FPGA实现,verilog语言实现,基于altera FPGA实现。

2024-03-17

MSK调制的FPGA实现

MSK调制的FPGA实现,verilog实现,基于altera FPGA实现。

2024-03-16

MSK调制的MATLAB实现

MSK调制的MATLAB实现

2024-03-16

QPSK调制传输的FPGA实现

QPSK调制传输的FPGA实现,verilog实现,基于altera FPGA

2024-03-13

DQPSK调制的MATLAB实现

DQPSK调制的MATLAB实现

2024-03-13

科斯塔斯锁相环的FPGA实现

科斯塔斯锁相环的FPGA实现

2024-03-11

DPSK调制的MATLAB的实现

DPSK调制的MATLAB的实现

2024-03-11

基于Altium designer FBG225封装

基于Altium designer F的BG225封装,PCB封装

2024-03-10

FSK解调的FPGA实现

FSK解调的FPGA实现,verilog实现,基于altera FPGA

2024-03-09

FSK解调的MATLAB实现

FSK解调的MATLAB实现

2024-03-09

FSK调制的FPGA实现

FSK调制的FPGA实现,verilog实现,基于alter FPGA,quartus开发软件

2024-03-07

FSK调制的MATLAB实现

FSK调制的MATLAB实现

2024-03-07

ASK调制解调的FPGA实现

ASK调制解调的FPGA实现,基于altera公司的FPGA,全verilog实现,开发工具是quartus。

2024-03-03

ASK调制解调的MATLAB仿真实现

ASK调制解调的MATLAB仿真实现

2024-03-03

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除