vivado 物理约束

物理约束
以下ISE设计套件物理约束可以表示为中的XDC约束
Vivado设计套房。每个约束描述都包含:
•目标对象类型
•约束值类型
•UCF示例
•等效XDC示例
有关信息,请参阅以下指南:
•Vivado Design Suite用户指南:使用约束(UG903)
•Vivado Design Suite Tcl命令参考指南(UG835)
•Vivado Design Suite属性参考指南(UG912)

Placement-Related Constraints
AREA_GROUP
Applied To
Cells
Constraint Values
String
UCF Example
INST bmg0 AREA_GROUP = AG1;
XDC Example
create_pblock ag1; add_cells_to_pblock
[get_pblocks ag1]\ [get_cells [list bmg0]]
AREA_GROUP RANGE
SLICE
Applied To
Area groups and Pblocks
Constraint Values
SLICE_XnYn[:SLICE_XnYn]
UCF Example
AREA_GROUP AG1 RANGE =
SLICE_X0Y44:SLICE_X27Y20;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{SLICE_X0Y44:SLICE_X27Y20}
RAMB18
Applied To
Area groups and Pblocks
Constraint Values
RAMB18_XnYn:RAMB18_XnYn
UCF Example
AREA_GROUP AG1 RANGE =
RAMB18_X0Y86:RAMB18_X3Y95;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{RAMB18_X0Y86:RAMB18_X3Y95}
RAMB36
Applied To
Area groups and Pblocks
Constraint Values
RAMB36_XnYn:RAMB36_XnYn
UCF Example
AREA_GROUP AG1 RANGE =
RAMB36_X0Y11:RAMB36_X3Y18;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{RAMB36_X0Y11:RAMB36_X3Y18}
CLOCKREGION (1)
Applied To
Area groups and Pblocks
Constraint Values
CLOCKREGION_XnYn
UCF Example
area_group ag1 range = CLOCKREGION_X0Y0;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}
CLOCKREGION (2)
Applied To
Area groups and Pblocks
Constraint Values
CLOCKREGION_XnYn[:CLOCKREGION_XnYn]
UCF Example
area_group ag1 range =
CLOCKREGION_X0Y0:CLOCKREGION_X1Y0;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{CLOCKREGION_X0Y0:CLOCKREGION_X0Y0}
CLOCKREGION (3)
Applied To
Area groups and Pblocks
Constraint Values
CLOCKREGION_XnYn,CLOCKREGION_XnYn, . . .
UCF Example
area_group ag1 range = CLOCKREGION_X0Y0,
CLOCKREGION_X1Y0;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{CLOCKREGION_X0Y0:CLOCKREGION_X0Y0\
CLOCKREGION_X1Y0:CLOCKREGION_X1Y0}
DSP48
Applied To
Area groups and Pblocks
Constraint Values
DSP48_XnYn:DSP48_XnYn
UCF Example
AREA_GROUP D1 RANGE = DSP48_X2Y0:DSP48_X2Y9;
XDC Example
resize_pblock [get_pblocks D1] -add
{DSP48_X2Y0:DSP48_X2Y9}
BUFGCTRL
Applied To
Area groups and Pblocks
Constraint Values
BUFGCTRL_XnYn:BUFGCTRL_XnYn
UCF Example
AREA_GROUP ag1 range =
BUFGCTRL_X0Y24:BUFGCTRL_X0Y31;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{BUFGCTRL_X0Y24:BUFGCTRL_X0Y31}
BUFHCE
Applied To
Area groups and Pblocks
Constraint Values
BUFHCE_XnYn:BUFHCE_XnYn
UCF Example
AREA_GROUP ag1 range =
BUFHCE_X0Y72:BUFHCE_X1Y77;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{BUFHCE_X0Y72:BUFHCE_X1Y77}
BUFR
Applied To
Area groups and Pblocks
Constraint Values
BUFR_XnYn:BUFR_XnYn
UCF Example
AREA_GROUP ag1 range = BUFR_X0Y20:BUFR_X1Y23;
XDC Example
resize_pblock [get_pblocks ag1] -add
{BUFR_X0Y0:BUFR_X1Y2}
BUFIO
Applied To
Area groups and Pblocks
Constraint Values
BUFIO_XnYn:BUFIO_XnYn
UCF Example
AREA_GROUP ag1 range = BUFIO_X0Y8:BUFIO_X0Y11;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{BUFIO_X0Y8:BUFIO_X0Y11}
IOB Range
Applied To
Area groups and Pblocks
Constraint Values
IOB_XnYn:IOB_XnYn
UCF Example
AREA_GROUP ag1 range = IOB_X0Y341:IOB_X1Y349;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{IOB_X0Y341:IOB_X1Y349}
IN_FIFO
Applied To
Area groups and Pblocks
Constraint Values
IN_FIFO_XnYn:IN_FIFO_XnYn
UCF Example
AREA_GROUP ag1 range =
IN_FIFO_X0Y24:IN_FIFO_X1Y27;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{IN_FIFO_X0Y24:IN_FIFO_X1Y27}
OUT_FIFO
Applied To
Area groups and Pblocks
Constraint Values
OUT_FIFO_XnYn:OUT_FIFO_XnYn
UCF Example
AREA_GROUP ag1 range =
OUT_FIFO_X0Y24:OUT_FIFO_X1Y27;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{OUT_FIFO_X0Y24:OUT_FIFO_X1Y27}
ILOGIC
Applied To
Area groups and Pblocks
Constraint Values
ILOGIC_XnYn:ILOGIC_XnYn
UCF Example
AREA_GROUP ag1 range =
ILOGIC_X0Y76:ILOGIC_X0Y79;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{ILOGIC_X0Y76:ILOGIC_X0Y79}
OLOGIC
Applied To
Area groups and Pblocks
Constraint Values
OLOGIC_XnYn:OLOGIC_XnYn
UCF Example
AREA_GROUP ag1 range =
OLOGIC_X0Y76:OLOGIC_X0Y79;
XDC Example
resize_pblock [get_pblocks ag1] -add\
{OLOGIC_X0Y76:OLOGIC_X0Y79}
LOC
IOB
Applied To
Port nets
Constraint Values
IOB site
UCF Example
NET p[0] LOC = H1;
XDC Example
set_property PACKAGE_PIN H1 [get_ports p[0]]
TIP: To assign pins in the Vivado Design Suite, use the PACKAGE_PIN port property, and not the LOC
property, which is used for cells.
SLICE (1)
Applied To
Cells
Constraint Values
Site range
UCF Example
INST a_reg[*] LOC = SLICE_X25Y*;
XDC Example
The Vivado Design Suite does not support this
constraint in XDC.
SLICE (2)
Applied To
Cells
Constraint Values
SLICE_XnYn
UCF Example
INST a_reg[0] LOC = SLICE_X4Y4;
XDC Example
set_property LOC SLICE_X4Y4 [get_cells
a_reg[0]]
RAMB18
Applied To
Cells
Constraint Values
RAMB18_XnYn
UCF Example
INST ram0 LOC = RAMB18_X0Y5;
XDC Example
set_property LOC RAMB18_X0Y5 [get_cells ram0]
RAMB36
Applied To
Cells
Constraint Values
RAMB36_XnYn
UCF Example
INST ram0 LOC = RAMB36_X0Y0;
XDC Example
set_property LOC RAMB36_X0Y0 [get_cells ram0]
DSP48
Applied To
Cells
Constraint Values
DSP48_XnYn
UCF Example
INST dsp0 LOC = DSP48_X0Y10;
XDC Example
set_property LOC DSP48_X0Y10 [get_cells dsp0]
BUFGCTRL
Applied To
Cells
Constraint Values
BUFGCTRL_XnYn
UCF Example
INST cb[0] LOC = BUFGCTRL_X0Y24;
XDC Example
set_property LOC BUFGCTRL_X0Y24 [get_cells
cb[0]]
BUFHCE
Applied To
Cells
Constraint Values
BUFHCE_XnYn
UCF Example
INST cb[0] LOC = BUFHCE_X0Y72;
XDC Example
set_property LOC BUFHCE_X0Y72 [get_cells cb[0]]
BUFR
Applied To
Cells
Constraint Values
BUFR_XnYn
UCF Example
INST cb[0] LOC = BUFR_X0Y20;
XDC Example
set_property LOC BUFR_X0Y20 [get_cells cb[0]]
BUFIO
Applied To
Cells
Constraint Values
BUFIO_XnYn
UCF Example
INST cb[0] LOC = BUFIO_X0Y8;
XDC Example
set_property LOC BUFIO_X0Y8 [get_cells cb[0]]
KEEP_HIERARCHY
Applied To
Cells
Constraint Values
TRUE
FALSE
YES
NO
UCF Example
INST u1 KEEP_HIERARCHY = TRUE;
XDC Example
set_property DONT_TOUCH true [get_cells u1]
  • 25
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值