vivado 将UCF约束迁移到XDC

将UCF约束迁移到XDC
概述
AMD Vivado™集成设计环境(IDE)不支持用户约束
ISE™设计套件中使用的文件(UCF)约束。
重要!必须将具有UCF约束的设计迁移到Xilinx设计约束(XDC)
总体安排
•有关XDC约束的信息,请参阅Vivado Design Suite用户指南:使用约束
(UG903)。
•有关UCF约束的信息,请参阅约束指南(UG625)。
•有关时间安排的信息,请参阅Vivado Design Suite用户指南:设计分析和收尾
技术(UG906)。
与UCF一样,XDC包括:
•时序约束,XDC时序约束基于Synopsys设计
约束(SDC)
•物理约束
重要!PlanAhead工具中“将UCF转换为XDC”中描述的迁移方法很好
用于迁移物理约束,例如I/O;时间约束通常可以更好地从头开始重新创建。
XDC和UCF约束之间的差异
XDC和UCF约束之间的根本区别在于:
•XDC是一种顺序语言,具有明确的优先级规则。
•UCF约束通常应用于网络:XDC约束通常应用到引脚,
端口和单元对象。
•UCF PERIOD约束和XDC create_clock命令并不总是等效的
可能导致不同的计时结果。

•默认情况下,UCF不在异步时钟组之间计时,而在XDC中,所有时钟
被认为是相关的和定时的,除非另有约束(set_clock_groups)。
•在XDC中,同一对象上可以存在多个时钟。
UCF到XDC的映射
有关信息,请参阅以下指南:
•Vivado Design Suite用户指南:使用约束(UG903)
•Vivado Design Suite Tcl命令参考指南(UG835)
•Vivado Design Suite属性参考指南(UG912)
下表显示了UCF约束与XDC命令之间的主要映射。

约束序列
无论您在设计中使用一个或多个XDC文件,AMD都建议您组织
您的约束按以下顺序排列:

## Timing Assertions Section
# Primary clocks
# Virtual clocks
# Generated clocks
# Clock Groups
# Input and output delay constraints
## Timing Exceptions Section (sorted by precedence)
# False Paths
# Max Delay / Min Delay
# Multicycle Paths
# Case Analysis
# Disable Timing
## Physical Constraints Section
# located anywhere in the file, preferably before or after the timing
constraints
# or stored in a separate XDC file
  • 7
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado UCFXDC表格是指将Vivado设计工具中使用的用户约束文件(UCF换为Xilinx Design Constraints(XDC)文件的过程。UCFXDC是Xilinx FPGA设计中指定引脚约束和其他各种约束的文件格式。 UCF是Xilinx旧版设计工具ISE中使用的约束文件格式,而XDCVivado工具中使用的新版约束文件格式。由于Vivado取代了ISE作为Xilinx的主要FPGA设计工具,因此UCFXDC换成为了一种常见的需求。 UCFXDC的语法和选项有一些区别,需要进行一定的调整和换。UCFXDC可以通过以下步骤完成: 1. 打开Vivado设计工具,并在设计工程目录中创建一个新的XDC文件。 2. 在Vivado的Constraints语法中,将UCF中的约束语句逐行复制到XDC文件中,并进行一些必要的调整和移除过时的语法。例如,针对引脚约束,需要将"NET"关键字替换为"set_property PACKAGE_PIN"。 3. 检查所有约束语句,确保其在XDC语法中是有效的。如果有任何参数或语法错误,需要对其进行调整。 4. 检查XDC文件中是否有明确定义的引脚映射。如果没有,需要手动为每个引脚添加映射。例如,使用"set_property IOSTANDARD"和"set_property PACKAGE_PIN"指定引脚的标准和物理位置。 5. 根据需要,可以添加其他约束语句,如时钟约束、时序规范等。 在换过程中,需要注意UCFXDC之间的显著差异,确保所有约束都正确换和安排。完成后,保存XDC文件,并在Vivado中重新运行综合和实现等过程以应用新的约束。 总的来说,将Vivado UCF换为XDC表格需要一些手动的调整和对语法的熟悉。通过仔细审查每个约束语句,确保其在XDC中的有效性,可以成功地进行UCFXDC换。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值