CLOCK_DEDICATED_ROUTE
TRUE(1)
Applied To
Nets
Constraint Values
TRUE
UCF Example
net clk0 CLOCK_DEDICATED_ROUTE = TRUE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE TRUE [get_nets clk0]
TRUE(2)
Applied To
Pins
Constraint Values
TRUE
UCF Example
PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = TRUE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE TRUE [get_pins\ clkbuf0/O]
FALSE(1)
Applied To
Nets
Constraint Values
FALSE
UCF Example
NET clk0 CLOCK_DEDICATED_ROUTE = FALSE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk0]
FALSE(2)
Applied To
Pins
Constraint Values
FALSE
UCF Example
PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = FALSE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE FALSE [get_pins\ clkbuf0/O]
BACKBONE(1)
Applied To
Nets
Constraint Values
BACKBONE
UCF Example
NET clk0 CLOCK_DEDICATED_ROUTE = BACKBONE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets clk0]
BACKBONE(2)
Applied To
Pins
Constraint Values
BACKBONE
UCF Example
PIN clkbuf0.O CLOCK_DEDICATED_ROUTE = BACKBONE;
XDC Example
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_pins\ clkbuf0/O]
I/O-Related Constraints
IODELAY_GROUP
Applied To
IDELAY and IDELAYCTRL cells
Constraint Values
String
UCF Example
INST idelay0 IODELAY_GROUP = group0;
XDC Example
set_property IODELAY_GROUP group0 [get_cells idelay0]
DCI_VALUE
Applied To
I/O buffer cells
Constraint Values
Integer. Resistance in Ohms
UCF Example
INST a_IBUF[0]_inst DCI_VALUE = 75;
XDC Example
set_property DCI_VALUE 75 [get_cells {a_IBUF[0]_inst}]
DIFF_TERM
Applied To
I/O buffer cells
Constraint Values
Boolean
UCF Example
INST a_IBUF[0]_inst DIFF_TERM = TRUE;
XDC Example
set_property DIFF_TERM true [get_cells {a_IBUF[0]_inst}]
DRIVE
Applied To
Inout and output buffer cells
Constraint Values
Integer: 2, 4, 6, 8, 12, 16, 24
UCF Example
INST q_OBUF[0]_inst DRIVE = 24;
XDC Example
set_property DRIVE 24 [get_ports q[0]]
LVTTL allows a value of 24.
IOSTANDARD
Applied To
I/O buffer cells
Constraint Values
I/O standard string
UCF Example
INST q_OBUF[0]_inst IOSTANDARD = LVCMOS25;
XDC Example
set_property IOSTANDARD LVCMOS25 [get_ports q[0]]
For more information, see the Constraints Guide (UG625) [Ref 11].
SLEW
Applied To
Inout and output buffer cells
Constraint Values
SLOW or FAST
UCF Example
INST q_OBUF[0]_inst SLEW = FAST;
XDC Example
set_property SLEW FAST [get_ports q[0]]
FAST
Applied To
Inout and output buffer cells
Constraint Values
N/A
UCF Example
INST q_OBUF[0]_inst FAST;
XDC Example
set_property SLEW FAST [get_ports q[0]]
SLOW
Applied To
Inout and output buffer cells
Constraint Values
N/A
UCF Example
INST q_OBUF[0]_inst SLOW;
XDC Example
set_property SLEW SLOW [get_ports q[0]]
PORTS
IN_TERM
Applied To
Ports
Constraint Values
•
NONE
•
UNTUNED_SPLIT_40
•
UNTUNED_SPLIT_50
•
UNTUNED_SPLIT_60
UCF Example
NET a[0] IN_TERM = UNTUNED_SPLIT_50;
XDC Example
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports [list\ clk]]
OUT_TERM
Applied To
Ports
Constraint Values
•
NONE
•
UNTUNED_25
•
UNTUNED_50
•
UNTUNED_75
UCF Example
net q[0] OUT_TERM = UNTUNED_50;
XDC Example
The Vivado Design Suite does not support this constraint in XDC.
IOBDELAY
Applied To
Port nets
Constraint Values
NONE
UCF Example
net b[0] IOBDELAY = NONE;
XDC Example
set_property IOBDELAY NONE [get_nets b[0]]
Note
:
You cannot set IOBDELAY on ports. However, you can set IOBDELAY on cells such
as input buffers.
BOTH
Applied To
Port nets
Constraint Values
BOTH
UCF Example
net b[0] IOBDELAY = BOTH;
XDC Example
set_property IOBDELAY BOTH [get_nets b[0]]
Note
:
You cannot set IOBDELAY on ports. However, you can set IOBDELAY on cells such
as input buffers.
IBUF
Applied To
Port nets
Constraint Values
IBUF
UCF Example
net b[0] IOBDELAY = IBUF;
XDC Example
set_property IOBDELAY IBUF [get_nets b[0]]
Note
:
You cannot set IOBDELAY on ports. However, you can set IOBDELAY on cells such
as input buffers.
IFD
Applied To
Port nets
Constraint Values
IFD
UCF Example
net b[0] IOBDELAY = IFD;
XDC Example
set_property IOBDELAY IFD [get_nets b[0]]
Note
:
You cannot set IOBDELAY on ports. However, you can set IOBDELAY on cells such
as input buffers
KEEPER
Applied To
Port nets
Constraint Values
•
TRUE
•
FALSE
•
YES
•
NO
UCF Example
NET n1 KEEPER = TRUE;
XDC Example
set_property KEEPER true [get_ports n1]
PULLDOWN
Applied To
Port nets
Constraint Values
•
TRUE
•
FALSE
•
YES
•
NO
UCF Example
NET n1 PULLDOWN = TRUE;
XDC Example
set_property PULLDOWN true [get_ports n1]
PULLUP
Applied To
Port nets
Constraint Values
•
TRUE
•
FALSE
•
YES
•
NO
UCF Example
NET n1 PULLUP = TRUE;
XDC Example
set_property PULLUP true [get_ports n1]
VCCAUX_IO
Applied To
Ports
Constraint Values
•
NORMAL
•
HIGH
•
DONTCARE
UCF Example
NET d[0] VCCAUX_IO = HIGH;
XDC Example
set_property VCCAUX_IO HIGH [get_ports d[0]]