使用vivado对fir IP仿真时报错

问题描述
testbench运行一段时间停在箭头指示处
在这里插入图片描述
查看波形,有初始值
在这里插入图片描述
错误信息:
Failure: ERROR:add_1 must be in range [-1,DEPTH-1]

官方技术人员给出的信息:
这是测试平台的问题,其中在驱动clk信号的过程中,时钟事件在0时间发生,这导致FIR IP中的计数器add_1出现问题。

原因:
我这里是在时钟定义时初始化一个值

signal clk_in : STD_LOGIC := '1';

然后隔20ns取反

clk_in <= not clk_in after 20ns; 

他说明的不是很详细,那我们也不用管他IP是怎么写的,直接照下面的改就行了
解决方法:
时钟定义不变,时钟触发改为

  process
  begin
    clk_in = '1';
    wait for 20ns;
    clk_in = '0';
    wait for 20ns;
  end process ; 

verilog代码可参考

always
begin
#(CLK_PERIOD/2) clk <= ~clk;
end

改为

always
begin
 clk = 1'b1;
 #(CLK_PERIOD/2) clk = 1'b0;
 #(CLK_PERIOD/2);
end

解决方案参考以下网址
参考链接

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值