更新龙芯2k派2(PMON)固件

1. 使用Ejtag更新pmon固件(linux环境下)

  • ejtag版本:ejtag-debug-v3.25.19.tar.gz

  • usb ejtag仿真器

  • 更新步骤查看下文的log日志

以下是整个更新的主要命令
#sudo ./ejtag_debug_usb
cpu0 -cpus 
[00] 0xffffffffbfc00dbc [00] 0xffffffff9fc0373c 
cpu0 -
cpu0 -source configs/config.ls2k
cpu0 -program_cachelock /data/nvme1n1p1/samba/gzrom.bin 

2. 在pmon下更新固件

  • 设置板子的ip: ifconfig syn0 192.168.1.11

  • 下载固件:load -r -f 0xbfc00000 tftp://192.168.1.99/gzrom.bin

  • 注意:记得在主机开启tftp服务,并将gzrom.bin放到tftp服务的根目录下

3. linux下Ejtag更新PMON的log日志

gaoyu@tkp:/data/nvme1n1p1/samba/ejtag-debug$ sudo ./ejtag_debug_usb 
cpu0 -cpus 
[00] 0x00000000 [00] 0x00000000 
cpu0 -set
^Cbreak!
cpu0 -break!
cpu0 -cpus 
[00] 0x00000000 [00] 0x00000000 
cpu0 -
cpu0 -cpus 
[00] 0xffffffffbfc00dbc [00] 0xffffffff9fc0373c 
cpu0 -
cpu0 -source configs/config.ls2k 
#jtag_clk 1 2
#letl clk 1
#expr 0x10000|1
#usblooptest 0x81000070  0x10001
#expr 3>2
#do if 0x1
#letl phase 2
#expr 0x20000|2
#usblooptest 0x81000070  0x20002
#end
#ret
#setconfig core.cpucount 2
#setconfig core.cpuwidth 64
#setconfig core.abisize 64
#setconfig core.nocache 1
#setconfig helpaddr 0xffffffff8000f000
#setconfig usb_ejtag.put_speed 0
#setenv ENV_memsize 256
#setenv ENV_highmemsize 1792
#setenv ENV_cpuclock 800000000
#setenv LOONGSON_2H 1
#setconfig spi.iobase 0xffffffffbfff0220
#setconfig jtag.jrhb 1
#setconfig jtag.jalrhb 1
#newcmd si si.h
#expr "(0x1 << 16)"
#letl PLL_L1_LOCKED 	0x10000
#expr "(0x1 << 2)"
#letl PLL_L1_ENA		0x4
#expr "(0x1 << 2)"
#letl PLL_MEM_ENA	0x4
#expr "(01 << 16)"
#letl PLL_MEM_LOCKED 	0x10000
#letl PLL_CHANG_COMMIT 0x1
#letl DDR_REFC   4
#letl DDR_DIV    1
#letl DDR_DIV_L2    4
#letl GPU_DIV_L2    5
#letl PLL_IN 100000000
#expr 333000000/10*1*4*4/(100000000/10)
#letl DDR_LOOPC 0x35
##/* CPU @ 1000Mhz */
#letl L1_LOOPC    80
#letl L1_REFC     4
#letl L1_DIV      1
#letl L2_DIV      2
#expr 1000000000/10*1*4*2/(100000000/10)
#letl L1_LOOPC 0x50
##dc 200M
##gmac 125M
#letl DC_LOOPC    80
#letl DC_REFC     4
#letl DC_DIV      1
#letl DC_DIV_L2   8
#letl GMAC_DIV    16
##letl DC_LOOPC {250000000/10*${DC_DIV}*${DC_REFC}*${DC_DIV_L2}/(${PLL_IN}/10)}
##letl PIX0_LOOPC	109
#letl PIX0_REFC	5
#letl PIX0_DIV	1
#letl PIX0_DIV_L2	20
#expr 64000000/10*1*5*20/(100000000/10)
#letl PIX0_LOOPC 0x40
##letl PIX1_LOOPC	109
#letl PIX1_REFC	5
#letl PIX1_DIV	1
#letl PIX1_DIV_L2	20
#expr 64000000/10*1*5*20/(100000000/10)
#letl PIX0_LOOPC 0x40
#let rtc_reg 0xffffffffbfe07800
#letl i2creg 0xffffffffbfe01000
#dellabel cache_init
#source "scripts/gmac.cmd"
#letl phy 16
#letl base 0xffffffffbfe10000
#letl phy 24
##letl base {($(pci_config_read 0 0x3 0 0x10)&~0xf)|0x9000000000000000}
#source scripts/nand.cmd
#letl nand_msize nand_osize nand_cap nand_esize 8192 256 7 0x20000
#letl ncmd 0xffffffffbfe06000
#letl orderreg 0xffffffffbfe10c00
#letl ncmd 0xffffffffbfe06000
#letl orderreg 0xffffffffbfe10c00
#source scripts/spi.cmd
#let spibase 0xffffffffbfff0220
#let spi_cs 0
#let spi_speed 4
#let spibase 0xffffffffbfff0220
#let spi_cs 0
#dellabel gdb_module_setup
##acpi_gmac_suspend()
##{
##devmem 0x4004002c 32 $(($(devmem 0x4004002c)|0x203))
##devmem 0x4005002c 32 $(($(devmem 0x4005002c)|0x203))
##devmem 0x1fe0702c 32 0x70
##devmem 0x1fe07028 32 0x0000ffff
##devmem 0x1fe0700c 32 0x0000ffff
##devmem 0x1fe07008 32 $(($(devmem 0x1fe07008)|0x80))
##devmem 0x1fe07004 32 $(($(devmem 0x1fe07004)|0x80))
##devmem 0x1fe07014 32 $(((1<<13)|(${1:-5}<<10)))
##}
#info f
name                 type  line     contents            
gdbaccess            f     48       gdbmap $1 $2 $3 $2 $4
gdb                  f     65       letl m $(setconfig gdbserver.cpubitmap)
gdb_remote           f     66       letl m $(setconfig gdbserver.cpubitmap)
gdbmod_remote        f     67       letl m $(setconfig gdbserver.cpubitmap)
gdbmod               f     68       letl m $(setconfig gdbserver.cpubitmap)
gdbmod0_remote       f     69       letl m $(setconfig gdbserver.cpubitmap)
gdbmod0              f     70       letl m $(setconfig gdbserver.cpubitmap)
eclipse              f     71       letl m $(setconfig gdbserver.cpubitmap)
eclipse_remote       f     72       letl m $(setconfig gdbserver.cpubitmap)
eclipsemod           f     73       letl m $(setconfig gdbserver.cpubitmap)
eclipsemod_remote    f     74       letl m $(setconfig gdbserver.cpubitmap)
ddd                  f     75       letl m $(setconfig gdbserver.cpubitmap)
ddd_remote           f     76       letl m $(setconfig gdbserver.cpubitmap)
dddemod              f     77       letl m $(setconfig gdbserver.cpubitmap)
dddmod_remote        f     78       letl m $(setconfig gdbserver.cpubitmap)
fls                  f     193      letl num i "$1" 31  
cache_config         f     202      letl prid config2 slines ssets sways $(cp0s 0 d4q 15 1) $(cp0s 2 d4q 16 1) 0 0 0
check_ejtag          f     239      letl clkdiv ${1:1}  
ejtag_check          f     240      letl clkdiv ${1:1}  
gdbserver            f     279      letl m $(setconfig gdbserver.cpubitmap)
localmem             f     321      newfunc f_d "devmem \{$$2&0xffffffffff} \{%%d $$1*8} $$3 $$4"
devmem               f     337      newfunc f_d "echo_2 devmem \{$$2&0xffffffffff} \{%%d $$1*8} $$3 $$4"
dummy                f     353      newfunc f_dq "echo_2 $$1 $$2; echo $$RANDOM;"
myput64              f     368      letl f ${1:/srv/tftp/vmlinux}
jtag_clk             f     382      letl clk ${1:1}     
ls1c_ejtag_init      f     390      devmem 0x1fd011c4 32 {$(devmem 0x1fd011c4)&~((0xf<<14)|(1<<21)|(1<<27))}
mysi                 f     418      hb 0 -1             
mySi                 f     422      Hb 0 -1             
configserial         f     446      let  serialdiv ${1:{125000000/115200/16}}
configserial         f     2166     let  serialdiv ${1:{125000000/115200/16}}
testserial           f     464      let  serialdiv ${1:{125000000/115200/16}}
testserial           f     2184     let  serialdiv ${1:{125000000/115200/16}}
set_cpu_clk          f     530      letl   t0 0xffffffffbfe10480
set_cpu_clk          f     2250     letl   t0 0xffffffffbfe10480
set_ddr_clk          f     540      letl    t0 0xffffffffbfe10490
set_ddr_clk          f     2260     letl    t0 0xffffffffbfe10490
set_dc_clk           f     550      echo dc             
set_dc_clk           f     2270     echo dc             
set_pix0_clk         f     561      echo pix0           
set_pix0_clk         f     2281     echo pix0           
set_pix1_clk         f     572      letl    t0 0xffffffffbfe104c0
set_pix1_clk         f     2292     letl    t0 0xffffffffbfe104c0
rtc_set              f     583      letl y m d h M s ${1:2016} ${2:1} ${3:1} ${4:12} ${5:0} ${6:0}
rtc_set              f     2303     letl y m d h M s ${1:2016} ${2:1} ${3:1} ${4:12} ${5:0} ${6:0}
rtc_alarmset         f     590      letl y m d h M s ${1:2016} ${2:1} ${3:1} ${4:12} ${5:0} ${6:0}
rtc_alarmset         f     2310     letl y m d h M s ${1:2016} ${2:1} ${3:1} ${4:12} ${5:0} ${6:0}
rtc_read             f     596      letl c $(d4q {$rtc_reg+0x40} 1)
rtc_read             f     2316     letl c $(d4q {$rtc_reg+0x40} 1)
fixup_window         f     604      ;ret                
fixup_window         f     2324     ;ret                
dm8                  f     620      letl i {$1&0xfff}   
dm8                  f     2340     letl i {$1&0xfff}   
configddr            f     627      cp0s 0 m8 12 {($(cp0s 0 d8q 12 1)&~4)|0xe0}
configddr            f     2347     cp0s 0 m8 12 {($(cp0s 0 d8q 12 1)&~4)|0xe0}
dumpddr              f     750      letl ddrwidth ${1:0}
dumpddr              f     2470     letl ddrwidth ${1:0}
ddrtest              f     811      do if $(test $0 == ddrtest_init)
ddrtest              f     2531     do if $(test $0 == ddrtest_init)
ddrtest_init         f     812      do if $(test $0 == ddrtest_init)
ddrtest_init         f     2532     do if $(test $0 == ddrtest_init)
ddrtest_uc           f     813      do if $(test $0 == ddrtest_init)
ddrtest_uc           f     2533     do if $(test $0 == ddrtest_init)
erase1               f     832      #let spi_speed 4    
erase1               f     2552     #let spi_speed 4    
erase                f     838      setconfig spi.iobase 0xffffffffbfff0220
erase                f     2558     setconfig spi.iobase 0xffffffffbfff0220
program              f     843      letl file ${1:/tmp/gzrom.bin}
program              f     2563     letl file ${1:/tmp/gzrom.bin}
pci_config_read0     f     856      local bus dev func reg cnt addr addrp type q
pci_config_read0     f     2576     local bus dev func reg cnt addr addrp type q
pci_config_write0    f     870      local bus dev func reg cnt addr type
pci_config_write0    f     2590     local bus dev func reg cnt addr type
pci_config_read      f     882      local bus dev func reg cnt addr addrp q
pci_config_read      f     2602     local bus dev func reg cnt addr addrp q
pci_config_readv     f     883      local bus dev func reg cnt addr addrp q
pci_config_readv     f     2603     local bus dev func reg cnt addr addrp q
pci_config_write     f     896      local bus dev func reg cnt addr type
pci_config_write     f     2616     local bus dev func reg cnt addr type
pci_find_cap         f     908      letl bus dev func type ${1:0} ${2:0} ${3:0} ${4:0x10}
pci_find_cap         f     2628     letl bus dev func type ${1:0} ${2:0} ${3:0} ${4:0x10}
pcie_max_dev         f     919      letl bus dev func ${1:0} ${2:0} ${3:0} 
pcie_max_dev         f     2639     letl bus dev func ${1:0} ${2:0} ${3:0} 
pci_list_bus         f     933      letl show $(setconfig sys.showcmd)
pci_list_bus         f     2653     letl show $(setconfig sys.showcmd)
pci_playload         f     965      letl bus dev func  ${1:0} ${2:0} ${3:0} 
pci_playload         f     2685     letl bus dev func  ${1:0} ${2:0} ${3:0} 
bus2phys             f     977      echo $1             
bus2phys             f     2697     echo $1             
bus2virt             f     980      echo {$1|0x9800000000000000}
bus2virt             f     2700     echo {$1|0x9800000000000000}
dumpe1000e           f     983      letl bus dev func ${1:0} ${2:0} ${3:0}
dumpe1000e           f     2703     letl bus dev func ${1:0} ${2:0} ${3:0}
reboot_tos           f     1014     set_gpio_out 46 1   
reboot_tos           f     2734     set_gpio_out 46 1   
reboot1              f     1019     memset4 0xffffffffbfe07030 1 4
reboot1              f     2739     memset4 0xffffffffbfe07030 1 4
reboot2              f     1022     letl v $(d4q 0xffffffffbfd00204 1)
reboot2              f     2742     letl v $(d4q 0xffffffffbfd00204 1)
reboot               f     1027     m4 0xffffffffbfe07030 2
reboot               f     2747     m4 0xffffffffbfe07030 2
poweroff             f     1032     m4 0xffffffffbfe0700c $(d4q 0xffffffffbfe0700c 1)
poweroff             f     2752     m4 0xffffffffbfe0700c $(d4q 0xffffffffbfe0700c 1)
i2c0_init            f     1037     let i2creg {0xffffffffbfe01000+${1:0}*0x800};
i2c0_init            f     2757     let i2creg {0xffffffffbfe01000+${1:0}*0x800};
i2c0_read            f     1044     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_read            f     2764     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_write           f     1067     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
i2c0_write           f     2787     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
i2c0_read2a          f     1082     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_read2a          f     2802     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_write2a         f     1108     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
i2c0_write2a         f     2828     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
i2c0_read2           f     1126     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_read2           f     2846     letl cr dr adr reg count $(expr $i2creg+0x4) $(expr $i2creg+0x3) "$1" "$2" $(expr ($#>3)*($3-1)+1)
i2c0_write2          f     1154     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
i2c0_write2          f     2874     letl cr dr adr reg val $(expr $i2creg+0x4) $(expr $i2creg+0x3) ${1:0} ${2:0} ${3:0}
net                  f     1172     let gmacreg $(pci_config_read 0 3 0 0x10 1)
net                  f     2892     let gmacreg $(pci_config_read 0 3 0 0x10 1)
exectest             f     1180     letl p 0xffffffff90000000
exectest             f     2900     letl p 0xffffffff90000000
cache_dump           f     1223     setconfig core.nocache 0
cache_dump           f     2943     setconfig core.nocache 0
cache_lock           f     1246     #cache 0x13 0xffffffff9fc00000 0x40000
cache_lock           f     2966     #cache 0x13 0xffffffff9fc00000 0x40000
memtest_cachelock    f     1252     echo_on             
memtest_cachelock    f     2972     echo_on             
program_cachelock    f     1268     m4 0xffffffffbfe10424 {$(d4q 0xffffffffbfe10424 1)|0x200}
program_cachelock    f     2988     m4 0xffffffffbfe10424 {$(d4q 0xffffffffbfe10424 1)|0x200}
program_cachelock_bin f     1269     m4 0xffffffffbfe10424 {$(d4q 0xffffffffbfe10424 1)|0x200}
program_cachelock_bin f     2989     m4 0xffffffffbfe10424 {$(d4q 0xffffffffbfe10424 1)|0x200}
get_cachelock        f     1310     setconfig helpaddr 0x980000001fc00000
get_cachelock        f     3030     setconfig helpaddr 0x980000001fc00000
reset_lpc            f     1322     letl v $(d4q 0xffffffffbfd00200 1)
reset_lpc            f     3042     letl v $(d4q 0xffffffffbfd00200 1)
enable_pcieclk       f     1328     m4 0xffffffffbfe10430 {$(d4q 0xffffffffbfe10430 1)|0x30000}
enable_pcieclk       f     3048     m4 0xffffffffbfe10430 {$(d4q 0xffffffffbfe10430 1)|0x30000}
disable_pcieclk      f     1331     m4 0xffffffffbfe10430 {$(d4q 0xffffffffbfe10430 1)&~0x30000}
disable_pcieclk      f     3051     m4 0xffffffffbfe10430 {$(d4q 0xffffffffbfe10430 1)&~0x30000}
testpcie             f     1334     letl portnum ${1:0} 
testpcie             f     3054     letl portnum ${1:0} 
init_pcieport        f     1351     letl port ${1:0xe}  
init_pcieport        f     3071     letl port ${1:0xe}  
trainen_pcieport     f     1366     letl port ${1:0xe}  
trainen_pcieport     f     3086     letl port ${1:0xe}  
forcestate_pcieport  f     1383     letl port ${1:0xe}  
forcestate_pcieport  f     3103     letl port ${1:0xe}  
init_pciephy         f     1393     m4 0xffffffffbfe10580 0xc2492331
init_pciephy         f     3113     m4 0xffffffffbfe10580 0xc2492331
pcie_train           f     1409     letl port ${1:0xe}  
pcie_train           f     3129     letl port ${1:0xe}  
pcie_lookback        f     1423     m1 0xb8110011 0x2   
pcie_lookback        f     3143     m1 0xb8110011 0x2   
read_phy             f     1430     @letl show $(@setconfig sys.showcmd)
read_phy             f     3150     @letl show $(@setconfig sys.showcmd)
write_phy            f     1446     @letl show $(@setconfig sys.showcmd)
write_phy            f     3166     @letl show $(@setconfig sys.showcmd)
write_phy_reg_val    f     1457     let phy ${1:0}      
write_phy_reg_val    f     3177     let phy ${1:0}      
read_phy_reg_cnt     f     1461     let phy ${1:0}      
read_phy_reg_cnt     f     3181     let phy ${1:0}      
print_speed          f     1465     letl stat $1        
print_speed          f     3185     letl stat $1        
print_speed1         f     1492     letl s $(d4q {$base+0xd8} 1)
print_speed1         f     3212     letl s $(d4q {$base+0xd8} 1)
find_phy             f     1514     letl phy0 -1        
find_phy             f     3234     letl phy0 -1        
switch_mv88e6070_phy_read f     1537     @echo_off           
switch_mv88e6070_phy_read f     3257     @echo_off           
switch_mv88e6070_phy_write f     1549     @echo_off           
switch_mv88e6070_phy_write f     3269     @echo_off           
vbus2phys            f     1557     expr ($1>0x90000000)?$1:($1&0x0fffffff)
vbus2phys            f     3277     expr ($1>0x90000000)?$1:($1&0x0fffffff)
gmacdesc             f     1560     letl bar0 $base     
gmacdesc             f     3280     letl bar0 $base     
read_switch          f     1603     letl oldphy $phy    
read_switch          f     3323     letl oldphy $phy    
write_switch         f     1615     letl oldphy $phy    
write_switch         f     3335     letl oldphy $phy    
config_switch        f     1630     write_switch 0x8 0x1c
config_switch        f     3350     write_switch 0x8 0x1c
set_gpio_out         f     1640     letl pin val ${1:0} ${2:0}
set_gpio_out         f     3360     letl pin val ${1:0} ${2:0}
physaddr             f     1657     echo {($1>0xffffffff80000000&&$1<0xffffffff90000000)?($1&0x1fffffff):($1&0xffffffff)}
physaddr             f     3377     echo {($1>0xffffffff80000000&&$1<0xffffffff90000000)?($1&0x1fffffff):($1&0xffffffff)}
nand_init            f     1660     m4 0xffffffffbfe10420 {$(d4q 0xffffffffbfe10420 1)|(1<<9)}
nand_init            f     3380     m4 0xffffffffbfe10420 {$(d4q 0xffffffffbfe10420 1)|(1<<9)}
nand_reset           f     1663     letl naddr {$ncmd+8}
nand_reset           f     3383     letl naddr {$ncmd+8}
nand_readid          f     1683     letl naddr {$ncmd+8}
nand_readid          f     3403     letl naddr {$ncmd+8}
nand_erase           f     1720     letl naddr {$ncmd+8}
nand_erase           f     3440     letl naddr {$ncmd+8}
nand_Erase           f     1746     letl naddr {$ncmd+8}
nand_Erase           f     3466     letl naddr {$ncmd+8}
nand_read            f     1766     letl naddc {$ncmd+4}
nand_read            f     3486     letl naddc {$ncmd+4}
nand_write           f     1807     letl naddc {$ncmd+4}
nand_write           f     3527     letl naddc {$ncmd+4}
spi_set_cs           f     1854     do if $1            
spi_set_cs           f     3574     do if $1            
spi_send_data        f     1861     m1 {$spibase+0x2} $1
spi_send_data        f     3581     m1 {$spibase+0x2} $1
spi_qsend_data       f     1862     m1 {$spibase+0x2} $1
spi_qsend_data       f     3582     m1 {$spibase+0x2} $1
spi_readid           f     1868     call spi_init $spi_speed
spi_readid           f     3588     call spi_init $spi_speed
spi_read_area        f     1878     let addr cnt ${1:0} ${2:16}
spi_read_area        f     3598     let addr cnt ${1:0} ${2:16}
spi_wait_sr          f     1897     spi_set_cs 0        
spi_wait_sr          f     3617     spi_set_cs 0        
spi_set_wren         f     1903     spi_wait_sr         
spi_set_wren         f     3623     spi_wait_sr         
spi_write_sr         f     1913     let sr ${1:0}       
spi_write_sr         f     3633     let sr ${1:0}       
spi_read_sr          f     1922     spi_set_cs 0        
spi_read_sr          f     3642     spi_set_cs 0        
spi_write_area       f     1928     let addr ${1:0}     
spi_write_area       f     3648     let addr ${1:0}     
spi_init             f     1945     letl d ${1:4}       
spi_init             f     3665     letl d ${1:4}       
spi_mytest           f     1955     call spi_init $spi_speed
spi_mytest           f     3675     call spi_init $spi_speed
spi_memen            f     1965     letl en ${1:1}      
spi_memen            f     3685     letl en ${1:1}      
spi_sst_wen          f     1974     letl en {${1:1}?0:0xff}
spi_sst_wen          f     3694     letl en {${1:1}?0:0xff}
spi_erase_all        f     1990     call spi_init $spi_speed
spi_erase_all        f     3710     call spi_init $spi_speed
spi_erase_area       f     2003     let addr ${1:0}     
spi_erase_area       f     3723     let addr ${1:0}     
spi_gd25_diesel      f     2016     call spi_init $spi_speed
spi_gd25_diesel      f     3736     call spi_init $spi_speed
spi_cmd              f     2023     spi_set_cs 0        
spi_cmd              f     3743     spi_set_cs 0        
spi_fifoclear        f     2034     do while {($(d1q {$spibase+0x1} 1)&1)==0}
spi_fifoclear        f     3754     do while {($(d1q {$spibase+0x1} 1)&1)==0}
vxworks0             f     2041     setconfig core.abisize 32
vxworks0             f     3761     setconfig core.abisize 32
vxworks              f     2048     setconfig core.abisize 32
vxworks              f     3768     setconfig core.abisize 32
vxworks1             f     2057     setconfig core.abisize 32
vxworks1             f     3777     setconfig core.abisize 32
stfillbuffer_disable f     2064     letl v {$(cp0s 6 d4q 16 1)&~0x100}
stfillbuffer_disable f     3784     letl v {$(cp0s 6 d4q 16 1)&~0x100}
config_ls2k_xbar     f     2068     m8 0xffffffffbfe10020 0;m8 0xffffffffbfe10060 0;m8 0xffffffffbfe100a0 0xf2
config_ls2k_xbar     f     3788     m8 0xffffffffbfe10020 0;m8 0xffffffffbfe10060 0;m8 0xffffffffbfe100a0 0xf2
acpi_suspend         f     2087     letl acpibase 0xffffffffbfe07000 
acpi_suspend         f     3807     letl acpibase 0xffffffffbfe07000 
rtc_wake             f     2102     letl t ${1:10}      
rtc_wake             f     3822     letl t ${1:10}      
wdt_close            f     2108     set_gpio_out 3 0    
wdt_close            f     3828     set_gpio_out 3 0    
cachedump            f     2111     setconfig putelf.uncached 2
cachedump            f     3831     setconfig putelf.uncached 2
cachedump1           f     2117     setconfig putelf.uncached 2
cachedump1           f     3837     setconfig putelf.uncached 2
lio_config           f     2125     letl width8 speed ${1:0} ${2:0}
lio_config           f     3845     letl width8 speed ${1:0} ${2:0}
lio_cmd              f     2131     do while {$#>1}     
lio_cmd              f     3851     do while {$#>1}     
lio_id               f     2137     lio_cmd 0x555 0xaa 0x2aa 0x55 0x555 0x90
lio_id               f     3857     lio_cmd 0x555 0xaa 0x2aa 0x55 0x555 0x90
cache_init           f     2911     setconfig core.nocache 0
gdb_module_setup     f     3792     >> gdb.cmd echo monitor python pgdorder=0
#echo_off
cpu0 -program_cachelock /data/nvme1n1p1/samba/gzrom.bin 
#stop
#setconfig callbin.stacksize 0x1000
#m8 0xffffffffbfe10090 0x000000001fc000f2 0x000000001fc000f2
##call cache_init
#cp0s 0 d8q 16 1
#expr 0x0000000080064483|3
#cp0s 0 m8 16 0x80064483
#cp0s 0 d8q 12 1
#expr 0x00000000244000e2|0xe0
#cp0s 0 m8 12 0x244000e2
#call cache_lock
##cache 0x13 0xffffffff9fc00000 0x40000
#m8 0xffffffffbfe10200 0x800000001fc00000
# 
#m8 0xffffffffbfe10240 0xfffffffffffc0000
# 
##smemset 0xffffffff9fc00000 0 0x40000
#ret
#setconfig core.nocache 1
#setconfig helpaddr 0xffffffff9fc00000
#memset4 0xffffffff9fc10000 0 0x30000
#setconfig helpaddr 0xffffffff9fc30000
#memset4 0xffffffff9fc00000 0 0x10000
#setconfig helpaddr 0xffffffff9fc00000
#setconfig put.pack_size 0x10000
#setconfig usb_ejtag.put_speed 0x400
##Scallbin bin/caclfreq.bin64-0x980000001fc00000
#setconfig spi.iobase 0xffffffffbfff0220
#setconfig flash.type byte
#spi_memen 0
#letl en 0
#expr 0xffffffffbfff0220+0x4
#d1q 0xffffffffbfff0224 1
#letl o 0x47
#do if 0
#expr 0xffffffffbfff0220+0x4
#expr 0x47&~1
#m1  0xffffffffbfff0224 0x46
#end
#ret
#call spi_init 4
#letl d 4
#expr 0xffffffffbfff0220+0x1
#m1 0xffffffffbfff0221 0xc0
#expr 0xffffffffbfff0220+0x4
#expr 4<<4
#m1 0xffffffffbfff0224 0x40
#expr 0xffffffffbfff0220+0x3
#expr (0x5&~3)|((4>>2)&3)
#m1 0xffffffffbfff0223 0x5
#expr 0xffffffffbfff0220+0x6
#m1 0xffffffffbfff0226 0x1
#expr 0xffffffffbfff0220+0x0
#expr (0x51&~3)|(4&3)
#m1 0xffffffffbfff0220 0x50
#m4 0xffffffffff200100 0xffffffffbfff0220
#setconfig spi.inited 1
#ret
##erase1
#erase
#test -s /data/nvme1n1p1/samba/gzrom.bin
#letl len 573472
#letl i 0
#expr 0<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0 65536
0x00000000	(0)
0x00008000	(32768)
#loop_break
#end
#expr 0+0x10000
#letl i 0x10000
#expr 0x10000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x10000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x10000 65536
0x00010000	(65536)
0x00018000	(98304)
#loop_break
#end
#expr 0x10000+0x10000
#letl i 0x20000
#expr 0x20000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x20000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x20000 65536
0x00020000	(131072)
0x00028000	(163840)
#loop_break
#end
#expr 0x20000+0x10000
#letl i 0x30000
#expr 0x30000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x30000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x30000 65536
0x00030000	(196608)
0x00038000	(229376)
#loop_break
#end
#expr 0x30000+0x10000
#letl i 0x40000
#expr 0x40000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x40000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x40000 65536
0x00040000	(262144)
0x00048000	(294912)
#loop_break
#end
#expr 0x40000+0x10000
#letl i 0x50000
#expr 0x50000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x50000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x50000 65536
0x00050000	(327680)
0x00058000	(360448)
#loop_break
#end
#expr 0x50000+0x10000
#letl i 0x60000
#expr 0x60000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x60000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x60000 65536
0x00060000	(393216)
0x00068000	(425984)
#loop_break
#end
#expr 0x60000+0x10000
#letl i 0x70000
#expr 0x70000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x70000
pack: 0,time : 1, download_size : 0x10000, download rate=65536 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x70000 65536
0x00070000	(458752)
0x00078000	(491520)
#loop_break
#end
#expr 0x70000+0x10000
#letl i 0x80000
#expr 0x80000<573472
#do while 0x1
#put /data/nvme1n1p1/samba/gzrom.bin 0x980000001fc10000 0x10000 0x80000
pack: 0,time : 1, download_size : 0xc020, download rate=49184 B/S
#test program_cachelock == program_cachelock
#do if 1
#program 0xffffffff9fc10000 0x80000 49184
0x00080000	(524288)
0x00088000	(557056)
#loop_break
#end
#expr 0x80000+0x10000
#letl i 0x90000
#expr 0x90000<573472
#do while 0x0
#ret
cpu0 -
cpu1: 0xffffffff9fc0373c: 00000000 nop 	#user  exp

cpu0: 0xffffffffbfc00dbc: aaa43726 swl	a0,14118(s5) 	#user  exp

cpu0 -
cpu0 -reboot
#reboot
#m4 0xffffffffbfe07030 2
#m4 0xffffffffbfe07038 0x10000000
#m4 0xffffffffbfe07034 1
#ret
cpu0 -
cpu0 -
cpu1: 0xffffffffbfc00000: 3c02bfff lui	v0,0xbfff 	#user  exp

cpu 0 not in debug mode

cpu0 -
cpu0 -

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

不是一个人的程序猿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值