FPGA入门指导手册-----------它是空的(不信你点开看看啊)

绪论

本文将会统计FPGA学习期间,使用的过程(尽量精简的方式)
具体信息将会以视频的方式在b站上发表,此处仅以书签的方式统计

  1. 软件安装:FPGA开发软件的安装,FPGA芯片的驱动程序,串口芯片的驱动程序(不打算)

  2. 点灯程序:第一个软件的下载,下载到flash,ModelSimSE 联合仿真

  3. 编程语言的使用:基本语法(不打算)

  4. 数码管控制

  5. SD卡的开发,emmc驱动

  6. ddr的开发

  7. camera的开发

  8. VGA开发

  9. HDMI开发

  10. USB 3.0芯片的开发

语法相关记录

函数申明

  1. 输入输出的使用 input 和output作为声明
  2. reg声明变量,如果input和output 需要受到下面程序的更改,则添加reg,如文中的lcd_pclk信号
module clk_div(
    input               clk,          //50Mhz
    input               rst_n,
    input       [15:0]  lcd_id,
    output  reg         lcd_pclk
    );

reg          clk_25m;
reg          clk_12_5m;
reg          div_4_cnt;

//时钟2分频 输出25MHz时钟 
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        clk_25m <= 1'b0;
    else 
        clk_25m <= ~clk_25m;
end

//时钟4分频 输出12.5MHz时钟 
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        div_4_cnt <= 1'b0;
        clk_12_5m <= 1'b0;
    end    
    else begin
        div_4_cnt <= div_4_cnt + 1'b1;
        if(div_4_cnt == 1'b1)
            clk_12_5m <= ~clk_12_5m;
    end        
end

always @(*) begin
    case(lcd_id)
        16'h4342 : lcd_pclk = clk_12_5m;
        16'h7084 : lcd_pclk = clk_25m;       
        16'h7016 : lcd_pclk = clk;
        16'h4384 : lcd_pclk = clk_25m;
        16'h1018 : lcd_pclk = clk;
        default :  lcd_pclk = 1'b0;
    endcase      
end

endmodule

状态机

  1. 组合逻辑使用阻塞赋值
  2. 时序逻辑使用非阻塞赋值
    解释:数字电路一般包括组合逻辑和时序逻辑电路。
    组合逻辑电路:在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。 组合逻辑电路没有记忆功能,没有反馈环路。 下面章节我们会先从“与”“或”“非”门开始来学习组合逻辑。
    时序逻辑电路:在逻辑功能上的特点是任意时刻的输出不仅取决于当时的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入有关。 下面章节我们会从锁存器、触发器和寄存器来学习时序逻辑。

毛刺问题

  1. 产生原因:因为门电路延迟和信号传输延迟,导致接收到的信号和实际有差异,导致的信号抖动,产生毛刺
  2. 解法1:添加延时环节,将信号同步,
  3. 解法2:添加状态机,检测时钟,触发改变信号,将信号处理后输出

实列操作

下文会进行一些实际操作的概述,现在的电脑默认 软件已经装好,驱动调试正常。

1. 点量一个LED灯(下载编译)

  1. 打开文件
    在这里插入图片描述

  2. 设置输入输出的PIN
    在这里插入图片描述

  3. 编译文件
    先双击Compile Design 编译文件,再双击Program device 打开下载文件的界面
    在这里插入图片描述

  4. 下载设置如下三步走,添加.sof 文件(直接下载到FPGA,断电消失)
    在这里插入图片描述

  5. 点击start,开始下载,会出现绿色的successful,表示下载正常。
    在这里插入图片描述

flash下载操作(JIC文件下载)

  1. 点击convert programming files打开在这里插入图片描述

  2. 设置方式在这里插入图片描述

  3. 生成JIC文件的过程

  4. 选中如图所示的文件,添加文件
    在这里插入图片描述

  5. 下载最后一步一切就绪
    注意:下载结束后需要重新断电才能启动程序,看到没有反应的时候断电一下即可
    在这里插入图片描述

2. ModelSim联合仿真操作手册(空)

3. PLL操作方式

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值