0.1.1 vivado创建工程

1. 创建工程

1 打开vivado,软件
在这里插入图片描述

在这里插入图片描述

  1. 选择Create Project
    在这里插入图片描述
    在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

选择芯片型号:xc7a35tfgg484-2

xc7a35tfgg484-2
在这里插入图片描述

最后完成
在这里插入图片描述

2. 创建工程文件

在这里插入图片描述

点击+号创建文件
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

创建完成

在这里插入图片描述

3.在文件中写入代码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/06/21 20:01:55
// Design Name: 
// Module Name: LED
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

//LED 是之前新建的工程名称,如果更改的话这里需要一起改变
module LED(
        input clk,
        input rst_n,
        output reg led

    );
    
    reg [25:0] cnt;
always @ (posedge clk or negedge rst_n)
begin
    if (!rst_n)
        cnt <= 26'd0;
    else if (cnt < 26'd49_999_999)
        cnt <= cnt + 1'b1;
    else
    cnt <= 26'd0;
end

always @ (posedge clk or negedge rst_n)
begin
    if (!rst_n)
        led <= 1'b0;
    else if (cnt == 26'd49_999_999)
        led <= ~led;
    else
        led <= led;
end
    
    
endmodule

4. 检查语法问题

点击如下按键,open elaborated design
在这里插入图片描述
点击上图的按键 schematic 可以出现,原理图式的界面,这个在整个的设计中十分重要

显示出的如下图,原理图的方式显示的整个逻辑。

在这里插入图片描述

5 设计综合

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
点击了YES,弹出了device的选择。
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

PS:举一反三>>>>>界面上还有其他的report的信息,可以看到其他关心的参数。

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
要在Vivado中添加xc7a35t器件,可以按照以下步骤进行操作: 1. 打开Vivado创建一个新的工程。 2. 在“创建工程”对话框中,选择xc7a35tfgg484-2芯片型号作为目标芯片。 3. 创建工程文件并完成创建。 4. 在工程文件中添加代码。 5. 检查代码的语法问题。 6. 点击界面上的“Add Sources”按钮,进入添加源文件的界面。 7. 在添加源文件界面,选择“Create File”按钮,以创建一个新的文件。 8. 在“Family”栏中选择“Artix-7”,在“Speed grade”栏中选择“-2”,在“Package”栏中选择“fgg484”。 9. 在下面的列表中选择“xc7a35tfgg484-2”。 10. 点击“Next”按钮,继续完成其他配置步骤。 通过以上步骤,您可以成功地在Vivado中添加xc7a35t器件。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [0.1.1 vivado创建工程](https://blog.csdn.net/chen1658137632/article/details/118093410)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [ARTIX-7 XC7A35T实验项目之流水灯](https://blog.csdn.net/weixin_51028584/article/details/127231495)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值