基于FPGA快速除法器的设计

除法器的简单介绍

本除法器是基于除数100,200,1000,10000这四种情况,被除数是32bit的数据

模块结构

在这里插入图片描述

算法流程

算法的公式如下图所示:
在这里插入图片描述
B(商),A(被除数),C(余数),G(除数)
其中n取值由除数决定
在这里插入图片描述

基本原理

当除数输入进该模块后,需要判断数据的除数是上述四种情况中的哪一种,然后转换成对于的使能信号

</
dividor 对应的2进制的数
100 14‘b0000_0000_0110_0100
200 14‘b0000_0000_110_1000
  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值