FPGA中除法是怎么实现的?

  在FPGA中,我们怎么实现除法操作?最简单的方法当然是调IP Core。

在这里插入图片描述

  在Divider Generator的IP Core中,我们可以选择有/无符号数进行除法,还可以选择除法的延迟。当然,延迟越小,占用的资源就越多。

在这里插入图片描述

在这里插入图片描述

  虽然有IP可以直接调用,但我们还是要了解FPGA中除法的原理,手动来写一个除法器。

FPGA中除法原理

  两个32的无符号整数除法,被除数a除以除数b,他们的商和余数都不会超过32位。

  我们以4位的无符号数除法举例来说明,被除数a=0b1101,除数b=0b0010。

  • 令a’ = {4’b0, a}, b’ = {b, 4’b0};
  • 在每个时钟周期,将a’左移一位,末尾补0,然后与b’比较;若大于b’,则a’=a’-b’+1,否则继续比较;
  • 直到移位4次,此时a’的高4位即为余数,低4位即为商

在这里插入图片描述

个人网站:http://www.technomania.cn/

微信公众号:Quant_Times
      Reading_Times

在这里插入图片描述

  • 7
    点赞
  • 54
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值