Gray Counter (格雷码计数器)

异步FIFO采用Gray Counter产生读写地址,Empty/Full信号的产生也与Gray Counter的最高两位相关。


`timescale 1ns / 1ps
//
// Company: SEU.IC
// Engineer: Ray
//
// Create Date:    21:58:22 03/28/2011
// Design Name: Gray Counter
// Module Name:    GrayCounter
// Revision 0.01 - File Created
//
module GrayCounter(
    Clock,
     Reset_in,
     Enable_in,
     GrayCount_out
     );
     parameter COUNTER_WIDTH = 5;
    input Clock;
    input Reset_in;
    input Enable_in;
    output [COUNTER_WIDTH - 1 : 0]GrayCount_out;
   
     reg [COUNTER_WIDTH - 1 : 0] BinaryCount;
     wire [COUNTER_WIDTH - 1 : 0]GrayCount_out;
    
     always @(posedge Clock or negedge Reset_in)
     begin
       if(!Reset_in)
          BinaryCount <&#

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值