FPGA | Modelsim仿真

生成vcd

modelsim可以生成vcd文件,假设测试文件为test,内部例化的顶层命名为top.在运行仿真之前终端输入如下代码。

vcd add -file myvcdfile.vcd -r /test/u_rec_intra_top/*

#add -file myvcdfile.vcd 指定vcd文件

  • -r | 将内部所有信号添加

然后运行仿真,关闭仿真就可以在工程文件夹下看到myvcdfile.vcd了。

打开vcd查看波形

modelsim是不能直接打开vcd文件的,其查看波形使用的是wlf文件,因此modelsim是通过将vcd转换成wlf文件再进行打开。转换代码和打开如下。

vcd2wlf myvcdfile.vcd mywlf.wlf
vsim -view mywlf.wlf

保存信号编译的数据

log -r /*
  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ruoyo176

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值