FPGA编程入门

一、1位二进制数全加器

(1)创建项目

点击file,newproject wizard,然后按图进行相应配置。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
按上述配置创建好项目后,点击file->new->按下图选择
在这里插入图片描述

(2) 半加器原理图

在这里插入图片描述

仿真结果

在这里插入图片描述

(2)全加器原理图

在这里插入图片描述

仿真结果

在这里插入图片描述

(3)Verilog实现一位全加器

在这里插入图片描述
代码如下:
这里需要注意module名字跟文件名字一样。

module full_add(
	//输入信号,ain表示被加数,bin表示加数,cin表示低位向高位的进位
	input ain,bin,cin,
	//输出信号,cout表示向高位的进位,sum表示本位的相加和
	output reg cout,sum

);
reg s1,s2,s3;
always @(ain or bin or cin) begin
	sum=(ain^bin)^cin;//本位和输出表达式
	s1=ain&cin;
	s2=bin&cin;
	s3=ain&bin;
	cout=(s1|s2)|s3;//高位进位输出表达式
end
endmodule

编译后可查看RTL图

在这里插入图片描述

仿真结果

在这里插入图片描述

(4)上板结果

在这里插入图片描述

二、4位全加器

(1)原理图实现

其中元件为一位全加器,需要把之前的一位全加器保存为元件。
在这里插入图片描述

RTL图

在这里插入图片描述

仿真结果

在这里插入图片描述

(2)Verilog实现

代码如下:

module four_adder(
    input[3:0] a,b,
    input cin,
    output[3:0] sum,
    output cout
);
    assign{cout,sum} = a+b+cin;
endmodule
RTL图

在这里插入图片描述

仿真结果

在这里插入图片描述

三、总结

本次实验,分别使用原理图方式和verilog编程方式实现了一位全加器和四位全加器,同时实际上手了FPGA开发板,学习了引脚配置的方法和烧录的方法。

四、参考链接

FPGA——1位全加器的实现
Verilog四位全加器

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值