4位二进制计数器VHDL源程序

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY cnt4e IS
PORT(clk,ena:IN STD_LOGIC;
        cout:OUT STD_LOGIC;
           q:BUFFER INTEGER RANGE 0 TO 15);
END cnt4e;
ARCHITECTURE one OF cnt4e IS
BEGIN
        PROCESS(clk,ena)
BEGIN
          IF clk'EVENT AND clk='1' THEN
                IF ena='1' THEN
                     IF q=15 THEN q<=0;
                                cout<='0';
                         ELSIF q=14 THEN q<=q+1;
                                   cout<='1';
                          ELSE q<=q+1;
                     END IF;
                END IF;
           END IF;
        END PROCESS;
END one;                
                 

  • 5
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值