VHDL1: VHDL求输入带符号的4位二进制数的补码并仿真

创建VHDL的第一个程序

目录

一. 创建工程

1. File->New Project Wizard

2. 弹出的界面选择【next】

3. 选择工程目录,并写入工程名字[example1]

4. 文件名不用写,直接进入下一步

5. Family & Device Settings [page3 of 5] 设置

6. EDA Tool Settings[page4 of 5] EDA仿真工具设置

7. 查看并点击[Finish]完成创建

8. 完成工程创建后的界面如下

二. 创建VHDL文件

1. File->new

2. 选择VHDL File

3. 进入下面VHDL的编辑页面

4. 将写好的VHDL代码放入编辑框并保存

5. 输入文件名[example1.vhd]并保存

6. 保存后文件显示如下

7. 按下编译按钮编译源码

8. 编译完成提示如下 - 点下【OK】

三.创建仿真

1. File->New

2. 选择University Program VWF并按下【OK】

3. 进入[Simulation Waveform Editor]后在空白页面右击-选择[insert Node or Bus...]

4. 进入Insert Node or Bus界面后选择【Node Finder...】

5. 创建号的仿真界面如下所示

6. 根据界面显示设置入参值

7. 设置完成后界面显示如下

8. 保存文件

9. 输入文件名后保存

10. 保存后观察文件名变化

11 此时可回到工程文件观察文件多了一个waveform.vwf文件

12. 在仿真界面选择仿真模式

13. 选择仿真选项

14. 弹出的提示框点【OK】即可

15. 执行功能仿真【Run Function Simulation】

16. 执行过程中会返回执行流程

17. 执行流程结束后,流程框关闭,会弹出波形显示框

18. 放大图形观察波形数据,验证补码程序是否正确

19. 以VHDL程序求输入带符号的4位二进制数的补码的工程并仿真结束


求输入带符号的4位二进制数的补码并仿真

一. 创建工程

1. File->New Project Wizard

2. 弹出的界面选择【next】

3. 选择工程目录,并写入工程名字[example1]

4. 文件名不用写,直接进入下一步

5. Family & Device Settings [page3 of 5] 设置

6. EDA Tool Settings[page4 of 5] EDA仿真工具设置

7. 查看并点击[Finish]完成创建

8. 完成工程创建后的界面如下

二. 创建VHDL文件

1. File->new

2. 选择VHDL File

3. 进入下面VHDL的编辑页面

4. 将写好的VHDL代码放入编辑框并保存

源码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY example1 IS

PORT(data_in: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

          data_out: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

         );

END example1;

architecture no OF example1 is

begin

PROCESS(data_in)

begin

IF data_in(3)='0' then

data_out <= data_in;

else

data_out(3) <= data_in(3);

data_out(2 downto 0) <= not data_in( 2 downto 0) + '1';

end if;

end process;

end no;

5. 输入文件名[example1.vhd]并保存

6. 保存后文件显示如下

7. 按下编译按钮编译源码

8. 编译完成提示如下 - 点下【OK】

三.创建仿真

1. File->New

2. 选择University Program VWF并按下【OK】

3. 进入[Simulation Waveform Editor]后在空白页面右击-选择[insert Node or Bus...]

4. 进入Insert Node or Bus界面后选择【Node Finder...】

5. 创建号的仿真界面如下所示

6. 根据界面显示设置入参值

7. 设置完成后界面显示如下

8. 保存文件

9. 输入文件名后保存

10. 保存后观察文件名变化

11 此时可回到工程文件观察文件多了一个waveform.vwf文件

12. 在仿真界面选择仿真模式

13. 选择仿真选项

14. 弹出的提示框点【OK】即可

15. 执行功能仿真【Run Function Simulation】

16. 执行过程中会返回执行流程

17. 执行流程结束后,流程框关闭,会弹出波形显示框

18. 放大图形观察波形数据,验证补码程序是否正确

19. 以VHDL程序求输入带符号的4位二进制数的补码的工程并仿真结束

结束!

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

WendyWJGu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值