VHDL——4位二进制加法计数器的实现

1.VHDL语言

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity cnt4 is
    port(clk : in std_logic;
	      q : buffer std_logic_vector(3 downto 0));
end cnt4;

architecture behave of cnt4 is
  begin
  process(clk)
    begin
	 if clk'event and clk = '1' then
	   if q = 15 then
		   q <= "0000";
		else
		   q <= q+1;
		end if;
	 end if;
    end process;
end behave;

2.注意

2.1.使用整型Integer时,必须定义整数取值范围
2.2.加减算术符的适用范围——整数
2.3.整数和位的表达方式:1 + 5; ‘1’;“1011”

  • 6
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值